期刊文献+

基于VADL的8路抢答器的设计与仿真

下载PDF
导出
摘要 根据实际需求,使用VHDL语言,设计出一款可以供8人使用的8路抢答器。并已经在QuartusⅡ 16.0工具上进行了编译与波形仿真。硬件采用了Cyclone V SoC 5CSEMA5F31C6N芯片来实现抢答器的功能并已在开发板中下载验证。此款抢答器具有很强的可扩展性与易用性。
作者 邹毅军
出处 《科技与创新》 2022年第14期60-62,共3页 Science and Technology & Innovation
  • 相关文献

参考文献2

二级参考文献5

  • 1刘开绪.数字式抢答器的设计与实现[J].电子工程师,2005,31(9):69-71. 被引量:7
  • 2郭勇.EDA技术基础[M]机械工业出版社,2005.
  • 3谭会生;瞿遂春.EDA技术综合应用实例与分析[M]{H}西安:西安电子科技大学出版社,2004.
  • 4李国洪;胡辉;沈明山.EDA技术与实验[M]{H}北京:机械工业出版社,2008.
  • 5王道宪;贺名臣;刘伟.VHDL电路设计技术[M]{H}北京:国防工业出版社,2004.

共引文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部