期刊文献+

SoC芯片UVM平台自动化开发系统 被引量:1

Automatic System of Developing UVM Testbench for SoC
下载PDF
导出
摘要 数据通信So C芯片规模大、接口数量繁多且配置复杂,开发过程需要完备的设计验证环境。市场上部分EDA工具已集成自动化验证平台,但它们一般只能搭建基本框架,无法自动支持非标准总线协议,需要较多手动更改,导致开发模块、子系统和系统级UVM验证平台及测试用例耗时较长。本文介绍了一种可自动提取验证平台关键信息、高效并智能化生成大规模So C芯片UVM验证平台的系统,根据用户配置自动分析RTL设计代码,抓取端口信号,并自动生成验证环境平台代码。本系统已经成功应用于多个数百亿晶体管规模的数通So C芯片验证工作,UVM平台开发时间缩短为之前的五分之一,大大提高了芯片研发效率,增强了平台代码质量和测试配置的灵活性、以及平台的可维护性。本系统可推广至更多SoC产品开发。 Data communication SoC are with great scale,numerous I/O interfaces and complicated configurations,which require complete verification platforms.Most of the existing EDA tools in the market can build verification testbenches with basic framework but need quite some manual work to change and add more customized components and bus protocols,which takes long time to build UVM testbenches for module,subsystem and SoC levels and to develop test cases correspondingly.In this article we introduce an automatic system which we developed to build UVM testbenches especially for complicated data communication SoC more efficiently and intelligently.It can automatically analyze RTL codes and signals according to user configuration,and generate verification platform codes.The system has been proven in SoC products with tens of billion transistors inside,where the UVM testbenches development time are dramatically reduced down to one fifth of that before.It greatly promotes SoC development efficiency,improve code quality,configuration flexibility and maintainability.It can be deployed to more SoC products development as well.
作者 王锋 王磊 银磊 WANG Feng;WANG Lei;YIN Lei(Xi’an R&D Institute,New H3C Semiconductor)
出处 《中国集成电路》 2023年第3期72-77,共6页 China lntegrated Circuit
关键词 SOC 芯片 UVM 自动化 EDA SoC IC UVM Automation EDA
  • 相关文献

参考文献2

二级参考文献18

  • 1熊志辉 ,李思昆 ,陈吉华 ,张鲁峰 .基于平台的SoC系统建模方法研究[J].计算机工程与科学,2005,27(8):56-59. 被引量:2
  • 2Accllera.UVM1.1 user guide[K].San Prancisco:Accuera,2011:49-78.
  • 3CHRISTIAN S.System Verilog for verification[M].2nd ed.New York:Springer,2005:2-3.
  • 4CADENAS O,TODOROVICH E.Experiences applying OVM 2.0 to an 8 b/10 b RTL design,programmable logic[R].2009:1-8.
  • 5Mentor Graphics.UVM cookbook[K].Mentor Graphics Corporation,2014:13-15.
  • 6NXP Semiconductors.I2C-bus specification and user manual[K].Nertherlands:NXP,2012:3-4.
  • 7PRANAY S,DEEPAK C,SUJAY D.UVM based STBUS verification IP for verifying So C architectures[C]∥Proceedings of the 18thInternational Symposium on VLSI Design and Test.Hsinchu,Taiwan,China,2014:4-5.
  • 8KYOUNGROK C,HYEON S,TAE C.Analysis of system bus on So C platform using TSV interconnection[J].Quality Electronic Design,2012(4):2-4.
  • 9FRANCESCONI J,AGUSTIN R J,JULIAN P M.UVM based testbench architecture for unit verification[C]∥Proceedings of Argentine Conference on Micro-Nanoelectronics Technology and Applications.Mendoza,Argentina,2014:89-94.
  • 10JOHNSON N.Uvm-utest[EB/OL].[2014-05-10].http://www.agilesoc.com.

共引文献8

同被引文献14

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部