期刊文献+

Functional Verification for Agile Processor Development: A Case for Workflow Integration

原文传递
导出
摘要 Agile hardware development methodology has been widely adopted over the past decade.Despite the research progress,the industry still doubts its applicability,especially for the functional verification of complicated processor chips.Functional verification commonly employs a simulation-based method of co-simulating the design under test with a reference model and checking the consistency of their outcomes given the same input stimuli.We observe limited collaboration and information exchange through the design and verification processes,dramatically leading to inefficiencies when applying the conventional functional verification workflow to agile development.In this paper,we propose workflow integration with collaborative task delegation and dynamic information exchange as the design principles to effectively address the challenges on functional verification under the agile development model.Based on workflow integration,we enhance the functional verification workflows with a series of novel methodologies and toolchains.The diff-rule based agile verification methodology(DRAV)reduces the overhead of building reference models with runtime execution information from designs under test.We present the RISC-V implementation for DRAV,DiffTest,which adopts information probes to extract internal design behaviors for co-simulation and debugging.It further integrates two plugins,namely XFUZZ for effective test generation guided by design coverage metrics and LightSSS for efficient fault analysis triggered by co-simulation mismatches.We present the integrated workflows for agile hardware development and demonstrate their effectiveness in designing and verifying RISC-V processors with 33 functional bugs found in NutShell.We also illustrate the efficiency of the proposed toolchains with a case study on a functional bug in the L2 cache of XiangShan.
作者 徐易难 余子濠 王凯帆 王华强 蔺嘉炜 金越 张林隽 张紫飞 唐丹 王卅 石侃 孙凝晖 包云岗 Yi-Nan Xu;Zi-Hao Yu;Kai-Fan Wang;Hua-Qiang Wang;Jia-Wei Lin;Yue Jin;Lin-Juan Zhang;Zi-Fei Zhang;Dan Tang;Sa Wang;Kan Shi;Ning-Hui Sun;Yun-Gang Bao(State Key Lab of Processors,Institute of Computing Technology,Chinese Academy of Sciences,Beijing 100190,China;University of Chinese Academy of Sciences,Beijing 100049,China;Beijing Institute of Open Source Chip,Beijing 100080,China)
出处 《Journal of Computer Science & Technology》 SCIE EI CSCD 2023年第4期737-753,共17页 计算机科学技术学报(英文版)
基金 supported in part by the Strategic Priority Research Program of Chinese Academy of Sciences(CAS)under Grant No.XDC05030200 the National Key Research and Development Program of China under Grant No.2022YFB4500403 the National Natural Science Foundation of China under Grant Nos.62090022 and 62172388 the Youth Innovation Promotion Association of the Chinese Academy of Sciences under Grant No.2020105 the Innovation Grant No.E261100 by Institute of Computing Technology,Chinese Academy of Sciences.
  • 相关文献

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部