期刊文献+

针对触发结构的硬件木马设计及检测技术研究

The Design and Detection Method of Conditional Triggered Hardware Trojan
下载PDF
导出
摘要 鉴于硬件木马攻击可能带来的巨大危害,硬件木马的信息攻防已成为集成电路发展所面临的新问题,文章对条件触发型硬件木马的设计及检测进行了研究;为模拟灵活触发以及低的触发率,设计并分析了3种不同触发特征的硬件木马,以丰富硬件木马研究样例,通过对触发条件的控制实验,实现了对目标载体特权位的攻击。在硬件木马检测方面,为了尽可能地消除硬件木马的威胁,通过分析触发特征及电路特性,有针对性地提出检测技术,通过仿真及实测验证,表明该检测技术可对硬件木马实现有效检测。 In view of the great threat that the hardware Trojan can bring,the information attack and defense of the hardware Trojan is a new problem in the development of integrated circuit. In this paper,we study the design and detection of conditional trigger hardware Trojan. In the design of hardware Trojan,in order to realize flexible trigger and low false trigger rate in the use of scene,the design method of conditional trigger hardware Trojan is put forward. And three kinds of hardware Trojan with different trigger characteristics are designed and implemented. By controlling the trigger condition, the attack on the privilege bit of the target carrier is realized in the experiment. In the aspect of hardware Trojan detection,in order to eliminate the threat of hardware Trojan as much as possible,a detection technology based on the side-channel analysis is proposed. The experiment shows that this method can detect the hardware Trojan.
作者 马正飞 李靖伟 阮爱武 MA Zhengfei;LI Jingwei;RUAN Aiwu(State Key Laboratory Electronic Thin Films and Integrated Devices,University of Electronic Science and Technology,Chengdu 610054,China)
出处 《空间电子技术》 2019年第1期75-81,共7页 Space Electronic Technology
基金 装备发展部预研基金资助项目(31513050304)
关键词 集成电路 硬件木马 旁路分析 信息安全 芯片安全 Integrated circuit Hardware trojan Side-channel analysis Information security Chip security
  • 相关文献

参考文献2

二级参考文献9

  • 1Chakraborty RS, Narasimhan S, Bhunia S. Hardware tro jan: threats and emerging solutions. Proceedings of the international [C]// High l.evel Design Validation and Test Workshop. San Francisco: IEEE, 2009:166-171.
  • 2Yier Jin, Kupp N, Makris Y. Experiences in Hard ware trojan design and implementation[C]//IEEE In- ternational Workshop on. Hardware--Oriented Securi- ty and Trust. San Francisco: IEEE, 2009 : 50-57.
  • 3Sanno/3. Detecting hardware trojans[EB/OL]. [2013- 04-20]. http://www/crypto, rub. de/imperia/md/con- tent/seminare/itsss09/benjamin_ sanno, semembsee _ termpaper_20090723_final, pdf, 2009.
  • 4Agrawal D, Baktir S, Karakoyunlu D, et al. Trojan detection using ic fingerprinting[C]// Proceedings of the Berkeley, CA.-IEEE, Security and Privacy, 2007.
  • 5Li J, Lach J. At--speed delay characterization for IC au- thentication and trojan horse detection[C]//IEEE Interna- tional Workshop on. Hardware- Orented Security and Trust Anahein: CA, USA: IEEE, 2008: 8-14.
  • 6Rad R, Plusquellic J, Tehranipoor M. A sensitivity a- nalysis of power signal methods for detecting hardware trojans under real process and environmental conditions[J].IEEE Transactions on. Very I.arge Scale Integra- tion (VI.SI) Systems, 2010,18(12) : 1735-1744.
  • 7Salmani H, Tehranitxor M, Plusquellic J. A novel tech- nique for improving hardware trojan detection and reducing trojan activation time[J]. IEEE Transctions on Very Large Scale Integration (VI.SI) Systems, 2012, 20 ( 1 ) : 112-115.
  • 8郑朝霞,韩玲,李阳,邹雪城.一种木马电路的实现与特征分析[J].微电子学与计算机,2012,29(10):78-80. 被引量:3
  • 9李雄伟,王晓晗,张阳,徐徐.基于多旁路综合分析的硬件木马检测方法[J].计算机仿真,2015,32(3):216-219. 被引量:10

共引文献4

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部