期刊文献+

基于FPGA交通灯计时显示实验的设计

Design of Time Display Experiment of Traffic Light Based on FPGA
下载PDF
导出
摘要 为改善硬件类课程实践环节教学效果,加强学生探索精神,设计基于FPGA的交通灯计时显示实验,给出了具体的设计方案.该实验以交通灯计时显示实例为载体,使学生掌握数码管动态和静态显示的原理和VHDL实现.该实验能够通过整合相似知识点增强学生运用知识解决问题的能力,提升实验教学效果.
作者 柯春艳 安思 胡春华 KE Chun-yan;AN Si;HU Chun-hua
机构地区 新疆农业大学
出处 《电脑知识与技术》 2018年第7Z期133-134,136,共3页 Computer Knowledge and Technology
  • 相关文献

参考文献5

二级参考文献17

共引文献13

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部