期刊文献+
共找到27篇文章
< 1 2 >
每页显示 20 50 100
基于遗传蚁群算法的片上网络映射研究 被引量:8
1
作者 刘炎华 刘静 +1 位作者 赖宗声 景为平 《计算机工程》 CAS CSCD 北大核心 2010年第22期262-264,共3页
基于2DMesh结构的片上网络在设计之初就要考虑模块映射问题,以满足通信功耗的约束。提出一种基于遗传蚁群映射算法的方法解决片上网络设计中通信功耗最小化问题。该算法针对标准蚁群算法易于出现早熟停滞等缺陷,引入轮盘赌选择机制及染... 基于2DMesh结构的片上网络在设计之初就要考虑模块映射问题,以满足通信功耗的约束。提出一种基于遗传蚁群映射算法的方法解决片上网络设计中通信功耗最小化问题。该算法针对标准蚁群算法易于出现早熟停滞等缺陷,引入轮盘赌选择机制及染色体杂交等手段,使映射功耗函数快速收敛,达到良好的全局寻优效果。 展开更多
关键词 片上网络 遗传蚁群映射算法 轮盘赌选择 染色体杂交
下载PDF
一种用于片上网络的异步共享FIFO设计 被引量:4
2
作者 刘炎华 孙玲 赖宗声 《微电子学与计算机》 CSCD 北大核心 2013年第7期159-162,共4页
针对片上网络(NoC),本文提出一种能被多个输入端口共享的新型异步FIFO结构.与传统FIFO结构相比,共享FIFO能提高片上网络FIFO单元的利用率.实验结果表明,采用共享FIFO结构片上网络吞吐量和平均延时较传统FIFO结构片上网络有着明显改善.
关键词 片上网络 片上路由器 共享FIFO 吞吐量 平均延时
下载PDF
存储器故障诊断算法的研究与实现 被引量:6
3
作者 刘炎华 景为平 《电子与封装》 2006年第12期23-25,48,共4页
集成电路工艺的改进使存储器的测试面临着更大的挑战。文中从存储器的故障模型入手,着重描述了存储器常见的诊断算法。诊断算法和诊断策略要在诊断时间、故障覆盖率、面积开支之间进行权衡。因此要根据存储器的故障类型和测试需求来选... 集成电路工艺的改进使存储器的测试面临着更大的挑战。文中从存储器的故障模型入手,着重描述了存储器常见的诊断算法。诊断算法和诊断策略要在诊断时间、故障覆盖率、面积开支之间进行权衡。因此要根据存储器的故障类型和测试需求来选择合适的诊断算法,才能达到比较满意的效果。 展开更多
关键词 存储器测试 故障模型 诊断算法 故障覆盖率
下载PDF
片上网络:新一代的片上系统结构
4
作者 刘炎华 刘静 赖宗声 《电子与封装》 2011年第5期23-27,共5页
片上系统是使用共享或专用总线作为芯片的通信资源。由于这些总线具有一定的限制,因此扩展性较差,不能满足发展需求。在这种情况下,目前的片内互连结构将成为多核芯片的发展瓶颈。文章介绍了一种新型的片上体系结构(片上网络)来解决未... 片上系统是使用共享或专用总线作为芯片的通信资源。由于这些总线具有一定的限制,因此扩展性较差,不能满足发展需求。在这种情况下,目前的片内互连结构将成为多核芯片的发展瓶颈。文章介绍了一种新型的片上体系结构(片上网络)来解决未来片上系统中总线所带来的不足。片上网络作为一种新的片上体系结构,可以解决片上系统设计中所带来的各种挑战,受到了学术界和工业界的广泛重视。片上网络的研究涉及体系结构、设计方法以及设计工具等诸多方面,文章从体系结构的角度对片上网络研究中的关键问题作了简单介绍。 展开更多
关键词 片上系统 片上网络 体系结构
下载PDF
一种2.4GHz全集成SiGe BiCMOS功率放大器 被引量:5
5
作者 阮颖 刘炎华 +1 位作者 陈磊 赖宗声 《电子与信息学报》 EI CSCD 北大核心 2011年第12期3035-3039,共5页
针对2.4 GHz 802.11 b/g无线局域网(WLAN)的应用,该文设计了一种单片全集成的射频功率放大器(PA)。由于在自适应偏置电路中采用异质结晶体管(HBT)和电容构成的简单结构提高PA的线性度,因此不增加PA的直流功耗、插损和芯片面积。在基极... 针对2.4 GHz 802.11 b/g无线局域网(WLAN)的应用,该文设计了一种单片全集成的射频功率放大器(PA)。由于在自适应偏置电路中采用异质结晶体管(HBT)和电容构成的简单结构提高PA的线性度,因此不增加PA的直流功耗、插损和芯片面积。在基极偏置的DC通路中采用电阻负反馈实现温度稳定功能,有效避免热崩溃的同时不引起射频损耗。采用了GRACE 0.18μm SiGe BiCMOS工艺流片,芯片面积为1.56 mm2,实现了包括所有偏置电路和匹配电路的片上全集成。测试结果表明,在2.4-2.5 GHz工作频段,PA的小信号增益S 21达23 dB,输入回波损耗S 11小于-15 dB。PA的1 dB输出压缩点的线性输出功率为19.6 dBm,功率附加效率为20%,功率增益为22 dB。 展开更多
关键词 SIGE BICMOS 功率放大器 全集成 自适应偏置
下载PDF
集成电路测试与可测性设计教学内容与教学方法探索
6
作者 刘炎华 《中国教育技术装备》 2014年第18期94-96,共3页
集成电路测试随半导体技术的发展变得日益重要,在电子类本科专业开设集成电路测试与可测性设计课程,可以完善学生的专业知识结构,加强学生的就业竞争力。从教学方法、教学内容、教学实例等方面探讨该课程的教学改革措施和建议。
关键词 半导体技术 集成电路测试 教学改革
下载PDF
一种基于IEEE 802.11p系统的联合粗细时间同步算法 被引量:1
7
作者 金婕 孙士民 +1 位作者 刘炎华 景为平 《电子技术应用》 北大核心 2013年第8期109-112,共4页
提出了一种基于IEEE 802.11p标准的在高速车载移动环境下保持良好时间同步性能的联合粗细时间同步方法。粗时间同步是基于短前导码的自相关,细时间同步利用了滑动窗口和互相关技术来完成准确的时间同步。MATLAB仿真结果表明,所提出的方... 提出了一种基于IEEE 802.11p标准的在高速车载移动环境下保持良好时间同步性能的联合粗细时间同步方法。粗时间同步是基于短前导码的自相关,细时间同步利用了滑动窗口和互相关技术来完成准确的时间同步。MATLAB仿真结果表明,所提出的方案在车辆速度为89.7 km/h与200.1 km/h时比其他三种同步方案的误比特率减少了12.5%;在车辆速度为126.3 km/h^200.1 km/h时,同步位置的均方差比其他三种同步方案减少了20.1%。 展开更多
关键词 时间同步 IEEE 802.11p 正交频分复用
下载PDF
高速移动车车信道建模与系统仿真 被引量:1
8
作者 金婕 刘炎华 +1 位作者 夏峻 彭飞 《计算机仿真》 CSCD 北大核心 2014年第12期183-187,196,共6页
高速移动的车载环境与传统蜂窝网的无线传输环境相比,主要的不同点在于存在很大的多普勒频移,并且无线电波传输环境、传输路径是不同的,成为智能交通领域影响通信性能的因素。为此提出了嵌入式抽头延迟线模型,采用傅里叶反变换的方法实... 高速移动的车载环境与传统蜂窝网的无线传输环境相比,主要的不同点在于存在很大的多普勒频移,并且无线电波传输环境、传输路径是不同的,成为智能交通领域影响通信性能的因素。为此提出了嵌入式抽头延迟线模型,采用傅里叶反变换的方法实现符合不同多普勒功率谱密度的瑞利或莱斯分布衰落,用简单抽头延迟线方法拟合每个抽头,最后采用嵌入式抽头延迟线模型实现三种车车信道。通过MATLAB软件完成了三种VTV信道的建模,仿真结果可以反映信道的高速移动性。基于IEEE 802.11p协议搭建了系统仿真环境,仿真结果表明,高速公路相向行驶信道为最恶劣信道,系统误比特率最高,并且误比特率随着数据包长度的增加而增加。 展开更多
关键词 车车信道 嵌入式抽头延迟线模型 多普勒谱 傅里叶反变换
下载PDF
符合EPC C1 G2标准的UHF RFID阅读器数字基带ASIC实现
9
作者 刘静 顾彬 +4 位作者 陈亦灏 张润曦 刘炎华 蒋颖丹 赖宗声 《微电子学》 CAS CSCD 北大核心 2010年第5期657-661,共5页
为了实现UHF RFID单芯片阅读器,提出了一种UHF RFID阅读器数字基带的电路结构。该数字基带基于EPC Global Class1 Gen2标准,对PIE编码、升余弦滤波器、希尔伯特滤波器、CRC5/16校验单元、FIR和IIR信道滤波器、采样电路、FM0译码、碰撞... 为了实现UHF RFID单芯片阅读器,提出了一种UHF RFID阅读器数字基带的电路结构。该数字基带基于EPC Global Class1 Gen2标准,对PIE编码、升余弦滤波器、希尔伯特滤波器、CRC5/16校验单元、FIR和IIR信道滤波器、采样电路、FM0译码、碰撞检测、控制单元等模块进行算法级、RTL级、网表级和物理级版图设计,后仿各项功能正确,符合系统要求。按照标准ASIC设计流程进行物理设计实现,并采用IBM 0.13μm 8金属的RF数模混合工艺流片。设计的RFID数字基带系统约27万门,面积为3 mm×3 mm,可应用于单芯片RFID阅读器。 展开更多
关键词 Class1 GEN2 超高频射频识别 数字基带
下载PDF
现代企业统计工作改革的几点思考 被引量:1
10
作者 刘炎华 《内蒙古煤炭经济》 2001年第S1期54-57,共4页
关键词 统计报表制度 会计核算 业务核算 原始记录 市场经济 基本统计 经济核算 经济体制 统计工作改革 企业信息管理系统 统计设计 企业 企业管理
下载PDF
面向智能交通通信的“数字集成电路设计”课程教学实践研究
11
作者 金丽 刘炎华 殷晓敏 《科技信息》 2013年第8期36-37,共2页
面向智能交通通信的"数字集成电路设计"课程是智能交通专业和微电子学与固体电子学专业的交叉课程。本文以智能高速交通通信系统中的数字滤波器FIR作为教学实验平台,利用Matlab、Modelsim等仿真软件开发从算法设计到集成电路... 面向智能交通通信的"数字集成电路设计"课程是智能交通专业和微电子学与固体电子学专业的交叉课程。本文以智能高速交通通信系统中的数字滤波器FIR作为教学实验平台,利用Matlab、Modelsim等仿真软件开发从算法设计到集成电路设计的虚拟实验平台,使学生理解从系统原理、算法建模到数字集成电路设计的先进设计方法,从内容和方法两方面满足社会对学生能力的要求。 展开更多
关键词 智能交通 数字滤波器 MODELSIM MATLAB
下载PDF
基于ATE的PLL时间抖动测试方法研究 被引量:1
12
作者 仲伟宏 刘炎华 《南京晓庄学院学报》 2010年第6期25-28,共4页
在数字通信系统特别是同步系统中,随着系统时钟频率的不断提高,时间抖动成为影响通信质量的关键因素,因此时间抖动的测试问题显得越来越重要.文章介绍了一种基于ATE平台的PLL时间抖动测试方法,通过功能测试的方法来测试PLL中的时间抖动... 在数字通信系统特别是同步系统中,随着系统时钟频率的不断提高,时间抖动成为影响通信质量的关键因素,因此时间抖动的测试问题显得越来越重要.文章介绍了一种基于ATE平台的PLL时间抖动测试方法,通过功能测试的方法来测试PLL中的时间抖动.测试结果表明本方法能有效地测试PLL中的时间抖动故障. 展开更多
关键词 数字通信系统 时间抖动 功能测试
下载PDF
基于STC89C52的智能抽风式散热器设计研究
13
作者 李成华 李若豪 刘炎华 《中国新技术新产品》 2016年第12期7-8,共2页
抽风式散热器是一种从笔记本电脑散热口向外抽出热空气,帮助笔记本降低内部温度的设备。现有的抽风散热器功能单一,风扇转速固定或需手动调节风速,不利于灵活调节散热效果。本文基于单片机STC89C52设计并实现了一款新型抽风式温控散热器... 抽风式散热器是一种从笔记本电脑散热口向外抽出热空气,帮助笔记本降低内部温度的设备。现有的抽风散热器功能单一,风扇转速固定或需手动调节风速,不利于灵活调节散热效果。本文基于单片机STC89C52设计并实现了一款新型抽风式温控散热器,通过检测笔记本散热口实时温度,采用PWM脉冲宽度调制方式,智能调节抽风式散热器风机转速,方便高效地保证笔记本电脑散热的。 展开更多
关键词 STC89C52 单片机 抽风式散热器 温控风机 PWM
下载PDF
基于片外信号源的SoC低成本测试解决方案
14
作者 钟伟宏 刘炎华 孙玲 《电子与封装》 2012年第11期17-19,31,共4页
随着SoC芯片集成度和复杂度的不断提高,其测试变得越来越复杂,测试成本也越来越高,如何降低过高的测试成本也逐渐成为研究的热点。卫星数字电视信道接收芯片作为机顶盒关键芯片之一,对低成本测试的要求也越来越迫切。文章针对某卫星数... 随着SoC芯片集成度和复杂度的不断提高,其测试变得越来越复杂,测试成本也越来越高,如何降低过高的测试成本也逐渐成为研究的热点。卫星数字电视信道接收芯片作为机顶盒关键芯片之一,对低成本测试的要求也越来越迫切。文章针对某卫星数字电视信道接收芯片,通过分析该芯片的内部模块功能,采用片外信号源方法设计该芯片的低成本测试方案,并在自动测试系统T6575上实现。实际生产结果表明,该方法能极大降低芯片测试成本。 展开更多
关键词 片上系统 片外信号源 低成本 自动测试系统
下载PDF
基于拥塞和热点感知的低延时片上网络路由器设计 被引量:2
15
作者 刘炎华 石世领 +2 位作者 孙海燕 孙玲 黄新明 《微电子学与计算机》 CSCD 北大核心 2018年第6期122-127,共6页
为了改善片上网络路由器的通信延时,提高路由器的可靠性,通过改良路由器架构、优化路由器关键路径,结合设计的基于拥塞和热点感知路由算法,提出了一种低延时片上网络路由器设计方法.相同配置下的综合结果表明本文设计的路由器与CONNECT... 为了改善片上网络路由器的通信延时,提高路由器的可靠性,通过改良路由器架构、优化路由器关键路径,结合设计的基于拥塞和热点感知路由算法,提出了一种低延时片上网络路由器设计方法.相同配置下的综合结果表明本文设计的路由器与CONNECT路由器相比具有工作速度快、传输延时低的优点;Hotspot负载模型下的测试结果显示该路由算法明显优于传统的超前XY路由算法. 展开更多
关键词 片上网络 路由器 低延时 热点
下载PDF
AES算法的C语言实现及测试向量生成 被引量:1
16
作者 陈海进 刘炎华 《南通工学院学报(自然科学版)》 2004年第3期63-65,69,共4页
在VC环境下 ,设计实现了高级加密标准 (AES)算法。密钥长度及加密/解密模式由用户控制 ,程序主要用于产生测试向量进行后期IC设计测试 ,也可应用于文件的加解密操作。
关键词 高级加密标准 VC++ 测试向量 集成电路测试
下载PDF
阿法替尼联合化疗治疗EGFR突变晚期非小细胞肺癌的临床效果 被引量:1
17
作者 刘炎华 马娜 《临床合理用药杂志》 2022年第31期23-26,30,共5页
目的观察阿法替尼联合化疗治疗表皮生长因子受体(EGFR)突变晚期非小细胞肺癌的临床效果。方法选择2018年1月—2020年1月湖南省邵阳市中心医院收治的EGFR突变晚期非小细胞肺癌患者110例,采用随机数字表法分为试验组与对照组各55例。对照... 目的观察阿法替尼联合化疗治疗表皮生长因子受体(EGFR)突变晚期非小细胞肺癌的临床效果。方法选择2018年1月—2020年1月湖南省邵阳市中心医院收治的EGFR突变晚期非小细胞肺癌患者110例,采用随机数字表法分为试验组与对照组各55例。对照组患者采用化疗治疗,试验组患者在对照组的基础上增加阿法替尼治疗,3周为1个周期,2组均治疗3个周期。比较2组患者的治疗效果,治疗前后血小板计数(PLT)、血红蛋白(Hb)、白细胞计数(WBC)、肿瘤标志物、心理状态、疼痛程度、生活质量及不良反应。结果试验组患者治疗客观缓解率(ORR)为40.00%,高于对照组的21.82%,差异有统计学意义(χ^(2)=4.257,P=0.039)。治疗后,2组患者的PLT、Hb及WBC均优于治疗前,且试验组患者均优于对照组,差异均有统计学意义(P<0.05);治疗后,2组患者的神经元特异性烯醇化酶(NSE)、癌胚抗原(CEA)及细胞角蛋白19片段(CYFRA21-1)水平均低于治疗前,且试验组患者均低于对照组,差异均有统计学意义(P<0.05);治疗后,2组患者的SAS评分、SDS评分及VAS评分均低于治疗前,且试验组患者均低于对照组,差异均有统计学意义(P<0.01);治疗后,2组患者的躯体方面、心理方面、社会方面及总体感觉评分均高于治疗前,且试验组患者均高于对照组,差异均有统计学意义(P<0.01)。试验组患者不良反应总发生率为12.73%,低于对照组的29.09%,差异有统计学意义(χ^(2)=4.453,P=0.035)。结论阿法替尼联合化疗治疗EGFR突变晚期非小细胞肺癌患者可显著提高临床效果,改善患者的临床症状、肿瘤标志物及心理状态,缓解患者的疼痛,提高患者的生活质量,且无明显的不良反应发生,具有较高的安全性。 展开更多
关键词 非小细胞肺癌 EGFR突变 晚期 阿法替尼 临床疗效 肿瘤标志物 心理状态 疼痛程度
下载PDF
浅析排水管道下沉的原因及解决办法 被引量:1
18
作者 刘炎华 《科技创新与应用》 2014年第21期145-145,共1页
排水管道发生下沉现象涉及到诸多原因,并且一旦发生下沉现象通常会导致极为严重的后果。文章结合自身的工作经历,从排水管道下沉的主要症状及危害出发,深刻分析了导致排水管道下沉的主要原因,并给出防治排水管道下沉的具体办法,以期能... 排水管道发生下沉现象涉及到诸多原因,并且一旦发生下沉现象通常会导致极为严重的后果。文章结合自身的工作经历,从排水管道下沉的主要症状及危害出发,深刻分析了导致排水管道下沉的主要原因,并给出防治排水管道下沉的具体办法,以期能够对水务部门治理排水管道下沉事故提供一些帮助。 展开更多
关键词 排水管道下沉 主要症状 防治办法
下载PDF
涡旋混凝低脉动沉淀给水处理技术 被引量:1
19
作者 刘炎华 《中外医疗》 2006年第10期3-5,共3页
首次从湍流微结构的尺度即亚微观尺度对混凝的动力学问题进行了深入的研究,提出了“惯性效应“是絮凝的动力学致因,湍流剪切力是絮凝反应中决定性的动力学因素。
关键词 湍流微结构 絮凝 涡旋
下载PDF
一种改进型逐点比较圆弧插补算法的FPGA实现 被引量:4
20
作者 韩赛飞 施佺 +2 位作者 刘炎华 黄新明 孙玲 《现代电子技术》 北大核心 2017年第19期153-155,159,共4页
逐点比较圆弧插补作为一种常见的插补算法在数控系统中广泛应用。为了进一步提高传统插补算法的速度,通过分析逐点比较法的特点简化了给进判别逻辑,提出一种改进的逐点比较圆弧插补算法实现方案。充分利用Verilog HDL语言特点,通过设计... 逐点比较圆弧插补作为一种常见的插补算法在数控系统中广泛应用。为了进一步提高传统插补算法的速度,通过分析逐点比较法的特点简化了给进判别逻辑,提出一种改进的逐点比较圆弧插补算法实现方案。充分利用Verilog HDL语言特点,通过设计全局变量并使用FPGA丰富的内部逻辑资源实现了算法精度的可调。算法的FPGA硬件验证结果表明该实现方案具有运算速度快、插补精度可调和逻辑资源占用少的优点。 展开更多
关键词 圆弧插补 VERILOG硬件描述语言 FPGA 逐点比较法
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部