期刊文献+
共找到24篇文章
< 1 2 >
每页显示 20 50 100
一种基于DSP的全局动态可重构方法
1
作者 符超 杨涛 +2 位作者 钱宏文 吴翼虎 付强 《集成电路与嵌入式系统》 2024年第1期64-68,共5页
可重构计算技术很好地解决了计算性能、效能,以及灵活性之间的矛盾,DSP作为异构多核平台中极为重要的组成部分,却少有面向其可重构的研究,限制了该技术的应用。针对此问题提出一种基于DSP的全局动态可重构方法。预先分区FLASH并烧写SPI... 可重构计算技术很好地解决了计算性能、效能,以及灵活性之间的矛盾,DSP作为异构多核平台中极为重要的组成部分,却少有面向其可重构的研究,限制了该技术的应用。针对此问题提出一种基于DSP的全局动态可重构方法。预先分区FLASH并烧写SPI参数文件以及镜像文件A、B,系统启动后先运行镜像A,当收到重构命令时修改SPI参数,将BOOT使用的镜像指向B,最后控制DSP重新BOOT以完成重构。使用TI TMS320C6678 DSP做测试,结果表明,该方法可以在320 ms内完成DSP内运行镜像的切换,具有良好的应用前景。 展开更多
关键词 DSP 动态可重构 TMS320C6678
下载PDF
局部动态可重构FPGA的备份与恢复技术
2
作者 陈光威 吴翼虎 谭加加 《集成电路与嵌入式系统》 2024年第4期57-62,共6页
对于FPGA在数字化设计应用中智能化、动态灵活的新需求,以局部动态可重构为基础,将逻辑功能抽象为类似软件进程的硬件进程,结合实时任务RT Linux内核实现硬件进程调度,通过FPGA局部功能备份与恢复技术实现在操作系统层对FPGA片上逻辑功... 对于FPGA在数字化设计应用中智能化、动态灵活的新需求,以局部动态可重构为基础,将逻辑功能抽象为类似软件进程的硬件进程,结合实时任务RT Linux内核实现硬件进程调度,通过FPGA局部功能备份与恢复技术实现在操作系统层对FPGA片上逻辑功能进行实时中间状态备份、功能中断重载切换及原始功能中继运行恢复。在FPGA上实现多任务并行可切换调用,并验证硬件功能线程调度及硬件进程的备份、切换、恢复功能,为FPGA在适应智能、高效、高动态、高可塑性的前沿数字系统设计提供新思路和实用参考。 展开更多
关键词 局部动态可重构 硬件进程 备份与恢复 FPGA Zynq7045
下载PDF
多功能可重构电磁信号发射接收及处理技术 被引量:2
3
作者 陈显舟 杨旭 +4 位作者 周琪 吴翼虎 陈文兵 方海 杨锋 《电子科技大学学报》 EI CAS CSCD 北大核心 2023年第2期214-223,共10页
针对导航增强、通信、雷达探测、电子侦察和干扰综合多功能一体化载荷系统对硬件通用化、功能软件化和资源虚拟化的需求,实现最大限度资源复用与共享,提出了低剖面、超宽带宽角扫描、极化可重构的综合孔径技术,基于射频全链路可重构架... 针对导航增强、通信、雷达探测、电子侦察和干扰综合多功能一体化载荷系统对硬件通用化、功能软件化和资源虚拟化的需求,实现最大限度资源复用与共享,提出了低剖面、超宽带宽角扫描、极化可重构的综合孔径技术,基于射频全链路可重构架构和三维异构集成的综合射频微系统技术;基于硬件进程实现超异构计算资源灵活调度和动态管理技术。该文提出的综合多功能一体化电磁信号发射接收处理架构和关键技术,为未来分布式多域智能网联电子系统的软件化、虚拟化和智能化提供技术基础。 展开更多
关键词 异构资源虚拟化 综合射频微系统 多功能一体化 软件化可重构 超宽带综合孔径
下载PDF
浅谈多因素敏感性分析在建设项目经济评价中的应用 被引量:1
4
作者 吴翼虎 《价值工程》 2017年第20期196-197,共2页
随着社会经济全球化发展,企业投资的风险因素日趋复杂多变。为了更精确控制投资项目风险,敏感性分析在经济评价中的价值愈加凸显。本文对敏感性分析方法进行了深入探讨,并结合实例运用多因素敏感性分析原理,探知项目的敏感性因素,为规... 随着社会经济全球化发展,企业投资的风险因素日趋复杂多变。为了更精确控制投资项目风险,敏感性分析在经济评价中的价值愈加凸显。本文对敏感性分析方法进行了深入探讨,并结合实例运用多因素敏感性分析原理,探知项目的敏感性因素,为规避项目风险提供依据。 展开更多
关键词 不确定性因素 敏感性分析 经济评价
下载PDF
价值工程在建筑工程项目施工方案优选中的应用 被引量:1
5
作者 吴翼虎 《价值工程》 2013年第9期1-2,共2页
阐述了价值工程的概念和基本原理,并且结合工程实例,在满足设计要求、功能需求的前提下,运用价值工程原理选择施工方案,降低施工成本,提高经济效益。
关键词 价值工程 工程项目 施工方案优选
下载PDF
土地管理工作中新技术手段的运用探讨
6
作者 吴翼虎 《决策探索》 2014年第20期55-55,共1页
近年来,经济社会发展迅速,城市扩张和基础设施建设加快,各项建设用地增长迅速,同时,人们对农产品的需求与供给矛盾也日益凸显,土地资源显得更加宝贵,因此,必须加强土地利用的科学有效管理。而现代科学技术的不断进步,新技术手段的运用... 近年来,经济社会发展迅速,城市扩张和基础设施建设加快,各项建设用地增长迅速,同时,人们对农产品的需求与供给矛盾也日益凸显,土地资源显得更加宝贵,因此,必须加强土地利用的科学有效管理。而现代科学技术的不断进步,新技术手段的运用实现了土地管理工作的科学化、智能化和精确化。 展开更多
关键词 土地管理工作 新技术 现代科学技术 经济社会发展 基础设施建设 需求与供给 城市扩张 建设用地
下载PDF
城乡一体化土地市场下的征地补偿标准研究 被引量:10
7
作者 马军成 吴翼虎 +1 位作者 郑防修 杨建锋 《中国农学通报》 2016年第1期200-204,共5页
为探讨建立城乡一体化土地市场下的征地补偿标准,完善中国的征地补偿制度,采用文献法和对比分析法对现行征地补偿制度存在问题进行研究,构建了城乡一体化土地市场下的征地补偿构成测算方法,包括土地补偿费、地上附着物和青苗的补偿费、... 为探讨建立城乡一体化土地市场下的征地补偿标准,完善中国的征地补偿制度,采用文献法和对比分析法对现行征地补偿制度存在问题进行研究,构建了城乡一体化土地市场下的征地补偿构成测算方法,包括土地补偿费、地上附着物和青苗的补偿费、社会保障费及发展权收益。以安阳市为例,采用城乡一体化土地市场下的征地补偿方案,计算失地农民的补偿费用。结果表明:失地农民的补偿费用将由原来的120-230元/m^2增加至229-769元/m^2,体现了征地过程中的等价交易关系。采用城乡一体化土地市场下的征地补偿标准可有效解决现行征地制度中存在问题,在一定程度上缓解目前的征地矛盾。 展开更多
关键词 土地经济 征地补偿 文献法 对比分析法 公共利益 发展权 社会保障
下载PDF
雷达信号处理机并行自动测试系统设计 被引量:1
8
作者 张晓曦 吴翼虎 刘永强 《计算机测量与控制》 2016年第1期126-127,136,共3页
为了测试和评价某型雷达信号处理机的功能与性能,提供了一种以PCI总线为基础,结合虚拟仪器、数据库和直接数字频率合成等技术的多机并行自动测试系统设计,实现了为雷达信号处理机提供雷达回波模拟、高稳定度ADC采集时钟和多通道多类型... 为了测试和评价某型雷达信号处理机的功能与性能,提供了一种以PCI总线为基础,结合虚拟仪器、数据库和直接数字频率合成等技术的多机并行自动测试系统设计,实现了为雷达信号处理机提供雷达回波模拟、高稳定度ADC采集时钟和多通道多类型信号输入,重点完成对雷达信号处理机多类型通讯总线测试和关键性能实时检测与分析,结合典型产品定量给出测试结果并分析,验证了测试系统的有效性。 展开更多
关键词 雷达 自动测试 多机并行 实时
下载PDF
局部动态可重构FPGA进程式调度系统设计与实现 被引量:1
9
作者 钱宏文 张飞 +3 位作者 吴翼虎 杨旭 方海 陈显舟 《电子技术应用》 2023年第3期114-117,共4页
针对6G时代多样的边缘计算要求,基于FPGA上的可重构技术可以实现更低的时延同时提供多样性的服务。基于局部动态重配置的思路,使用ICAP接口对FPGA资源进行重新配置,从而实现FPGA逻辑上的局部动态可重构方案。借鉴操作系统中软件进程管... 针对6G时代多样的边缘计算要求,基于FPGA上的可重构技术可以实现更低的时延同时提供多样性的服务。基于局部动态重配置的思路,使用ICAP接口对FPGA资源进行重新配置,从而实现FPGA逻辑上的局部动态可重构方案。借鉴操作系统中软件进程管理的思想,基于Linux操作系统中引入硬件进程的概念,这样可以将一整块FPGA资源划分为多个小的FPGA资源块,每一个小的可重构的FPGA资源块都可以抽象成为一个硬件进程,硬件进程实际并不运行在CPU上而是运行在FPGA逻辑资源区域中,在操作系统上只是硬件进程的软件语言描述。由此,设计出CPU加FPGA的硬件方案来实现局部可重构系统,并在Xilinx公司Zynq系列芯片上进行了验证,将FPGA硬件资源进行进程式调度以及资源分配,大大提高了FPGA硬件资源的利用率以及灵活性。 展开更多
关键词 FPGA 动态重构 局部重构 Zynq ICAP LINUX
下载PDF
STRS系统异构处理器通信中间件的设计与实现
10
作者 钱宏文 朱江伟 +3 位作者 吴翼虎 杨旭 方海 陈显舟 《电子技术应用》 2023年第2期92-96,共5页
针对空间通信无线电系统(STRS)异构处理器间通信存在的实时性不高、冗余度较大、无法故障恢复等问题,将分布式数据分发服务(DDS)中间件技术引入到STRS架构中,实现STRS异构处理器波形应用组件之间基于发布/订阅模式的通信中间件。在完全... 针对空间通信无线电系统(STRS)异构处理器间通信存在的实时性不高、冗余度较大、无法故障恢复等问题,将分布式数据分发服务(DDS)中间件技术引入到STRS架构中,实现STRS异构处理器波形应用组件之间基于发布/订阅模式的通信中间件。在完全兼容STRS标准规范的前提下,有效地提高了基于STRS的通信系统消息传递的实时性,降低了系统的复杂度和冗余度,提高了开发效率,节省了系统的开发和维护成本,实现了全局和局部模块的动态重构。 展开更多
关键词 分布式数据分发服务 空间电信无线电系统 发布/订阅
下载PDF
基于多核DSP上下文环境备份与恢复方案的设计与实现
11
作者 符超 吴翼虎 钱宏文 《电子技术应用》 2023年第8期114-118,共5页
在软件定义计算体系架构中,DSP作为一种常用的硬件资源,势必向虚拟化发展,以便最大化发挥其效能。提出了一种多核DSP上下文环境的备份与恢复的方案,以核0为控制核心,通过合理地内存分配以及读写操作,完成对其余核心在计算过程中的各状态... 在软件定义计算体系架构中,DSP作为一种常用的硬件资源,势必向虚拟化发展,以便最大化发挥其效能。提出了一种多核DSP上下文环境的备份与恢复的方案,以核0为控制核心,通过合理地内存分配以及读写操作,完成对其余核心在计算过程中的各状态,如寄存器状态、堆栈状态、数据状态以及程序状态的保存与写回,实现了其从计算任务M切换到计算任务N,待N完成后再切换回M继续执行的功能;使用TI公司66AK2H14对方案作测试,验证了其可行性。该方案对多核DSP硬件资源的灵活应用提供了实际的方法和经验。 展开更多
关键词 多核DSP 上下文环境 备份 恢复
下载PDF
基于HNGICS技术的地质工程测量应用研究
12
作者 范云峰 雷朝锋 吴翼虎 《科技信息》 2012年第32期370-370,364,共2页
基于本人多年从事地质工程测量的相关工作经验,以HNGICS技术在地质工程测量中的应用为研究对象,探讨了HNGICS技术在地质工程测量中的应用方向,结合具体的工程经验,给出了HNGICS技术在地质工程测量中的应用思路,相信对从事相关工作的同... 基于本人多年从事地质工程测量的相关工作经验,以HNGICS技术在地质工程测量中的应用为研究对象,探讨了HNGICS技术在地质工程测量中的应用方向,结合具体的工程经验,给出了HNGICS技术在地质工程测量中的应用思路,相信对从事相关工作的同行有着重要的参考价值和借鉴意义。 展开更多
关键词 HNGICS地质 工程测量 矿区
下载PDF
嵌入式异构平台DDS中间件设计 被引量:10
13
作者 吴翼虎 钱宏文 朱江伟 《电子与封装》 2021年第8期53-58,共6页
随着软件无线电、软件化卫星等技术的发展,中间件作为软件平台支撑技术得到广泛的研究。当前中间件主要包含面向对象的组件型和消息中间件,但是大部分中间件,如Kafka、RocketMQ等均面向个人计算机平台应用,无法适配到嵌入式平台上。同... 随着软件无线电、软件化卫星等技术的发展,中间件作为软件平台支撑技术得到广泛的研究。当前中间件主要包含面向对象的组件型和消息中间件,但是大部分中间件,如Kafka、RocketMQ等均面向个人计算机平台应用,无法适配到嵌入式平台上。同时其设计过多关注高并发和高性能,无法满足军用电子系统实时性、高可靠等方面的要求。为了满足此类应用,对象管理组织提出了以数据为中心的发布/订阅通信模式为其提供数据分发服务,并发布了相关规范。通过将轻量化的极端资源受限环境数据分发服务(Extreme Resource Constrained Environment Data Distribution Service,XRCE-DDS)中间件部署到CPU、FPGA和DSP等嵌入式处理器上,实现了在嵌入式异构平台中基于数据分发服务中间件的不同主题数据的发布/订阅。 展开更多
关键词 发布/订阅 异构平台 数据分发 消息中间件
下载PDF
增量法在改扩建和技术改造项目经济评价中的应用 被引量:1
14
作者 吴翼虎 《中国市场》 2020年第20期197-198,共2页
根据"增量法"在改扩建和技术改造项目经济评价中的基本原则,采用增量分析法对改造前后技术改造项目的综合效益进行分析评价,并结合实例,对项目是否进行技术改造进行合理的判断,为经济评价提供参考。
关键词 增量分析 技术改造 经济评价
下载PDF
基于Serv-U的外内网数据单向导入系统设计
15
作者 钱宏文 倪文龙 +3 位作者 吴翼虎 杜晓晨 奚相恺 王小妮 《电子与封装》 2021年第5期68-71,共4页
在企业内外网隔离的办公场景中,经常需要外网数据资源的参考。为了提高公司办公自动化的效率,减少采用传统人工方式内外网文件数据中转不及时和出现人为失误的问题,提出使用Serv-U来完成FTP主服务端功能搭建,存储空间、用户组、域权限... 在企业内外网隔离的办公场景中,经常需要外网数据资源的参考。为了提高公司办公自动化的效率,减少采用传统人工方式内外网文件数据中转不及时和出现人为失误的问题,提出使用Serv-U来完成FTP主服务端功能搭建,存储空间、用户组、域权限的设置,并利用Bestsync实现FTP服务器将数据自动同步内网服务器的办公自动化实现方案。为企业设计人员提供一个外网数据中转平台,极大地提高企业外网数据文件的自动导入效率,降低人力成本。 展开更多
关键词 SERV-U 外内网 FTP服务器 数据单项导入
下载PDF
基于挣值理论的工程项目施工成本控制方法研究 被引量:3
16
作者 吴翼虎 《价值工程》 2020年第18期66-67,共2页
作为项目管理的重要内容,工程项目施工成本管理对项目影响深远。本文以工程项目施工阶段成本控制的工作内容为依据,结合工程项目管理企业的发展需求,基于挣值理论分析方法,建立了挣值理论评价模型。最后结合具体工程案例,论证了该理论... 作为项目管理的重要内容,工程项目施工成本管理对项目影响深远。本文以工程项目施工阶段成本控制的工作内容为依据,结合工程项目管理企业的发展需求,基于挣值理论分析方法,建立了挣值理论评价模型。最后结合具体工程案例,论证了该理论的可行性和合理性。 展开更多
关键词 挣值理论 工程项目 施工成本控制
下载PDF
基于价值工程的住宅设计方案优选研究 被引量:2
17
作者 吴翼虎 《价值工程》 2020年第16期39-40,共2页
对目前我国住宅建设项目设计方案造价控制面临问题进行分析。基于价值工程原理,以具体住宅建筑设计方案为基础,融入价值工程活动理念,采用价值工程系统分析方法,对不同设计方案进行功能分析和评价,利用价值系数比较,最终选定最佳方案。... 对目前我国住宅建设项目设计方案造价控制面临问题进行分析。基于价值工程原理,以具体住宅建筑设计方案为基础,融入价值工程活动理念,采用价值工程系统分析方法,对不同设计方案进行功能分析和评价,利用价值系数比较,最终选定最佳方案。研究结果表明,引入价值工程方法进行建设项目设计方案评选,具有一定的指导意义。 展开更多
关键词 价值工程 建筑设计 功能分析 方案优选
下载PDF
浅谈非线性盈亏平衡分析在项目决策中的应用 被引量:2
18
作者 吴翼虎 《价值工程》 2018年第22期52-53,共2页
随着经济全球化的发展,建设项目投资面临的不确定性因素日益复杂。为了提高项目决策的科学化,本文对非线性盈亏平衡分析方法作了深入介绍,并结合实例,运用非线性盈亏平衡分析方法对项目方案进行风险分析,判定项目承受风险能力,使企业的... 随着经济全球化的发展,建设项目投资面临的不确定性因素日益复杂。为了提高项目决策的科学化,本文对非线性盈亏平衡分析方法作了深入介绍,并结合实例,运用非线性盈亏平衡分析方法对项目方案进行风险分析,判定项目承受风险能力,使企业的投资决策更全面、更准确,从而为避免投资决策失误提供依据。 展开更多
关键词 不确定性分析 盈亏平衡分析 决策
下载PDF
基于概率树分析方法的经济项目风险分析
19
作者 吴翼虎 《价值工程》 2020年第14期80-82,共3页
概率树分析法是一种基于概率统计描述事件发生可能性的不确定性分析方法,通过分析各种不确定因素的变化范围的概率分布,研究其对项目经济效果评价指标的影响,对项目经济可行性和风险性以及方案优劣做出判断的一种不确定性分析法。概率... 概率树分析法是一种基于概率统计描述事件发生可能性的不确定性分析方法,通过分析各种不确定因素的变化范围的概率分布,研究其对项目经济效果评价指标的影响,对项目经济可行性和风险性以及方案优劣做出判断的一种不确定性分析法。概率树分析常用于对一些大型项目的评估和决策,本文将其应用到经济项目风险分析中,对现实中的工程项目风险分析有一定的指导意义。 展开更多
关键词 概率树分析 经济效果评价 不确定性分析 风险分析
下载PDF
基于OpenWrt的边界路由设备设计与实现
20
作者 倪文龙 吴翼虎 +2 位作者 钱宏文 郑文玲 李旭明 《科技和产业》 2021年第7期219-222,共4页
介绍一种基于OpenWrt操作系统的PowerPC的嵌入式边界路由设备设计方案,该方案采用SIP封装P2020处理器来搭建边界路由设备硬件,再利用开源的OpenWrt系统构建实现高处理性能的路由设备。设备通过Dhrystone、Whetstone性能测试,并利用系统... 介绍一种基于OpenWrt操作系统的PowerPC的嵌入式边界路由设备设计方案,该方案采用SIP封装P2020处理器来搭建边界路由设备硬件,再利用开源的OpenWrt系统构建实现高处理性能的路由设备。设备通过Dhrystone、Whetstone性能测试,并利用系统嵌入的Web服务器完成与路由设备网络数据流量监控。该方案利用PowerPC作为处理器,极大地提高了路由器的处理效率,可提升开发效率并降低开发成本,有很大的使用前景。 展开更多
关键词 P2020 SIP 性能测试 OpenWrt 路由器
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部