期刊文献+
共找到5篇文章
< 1 >
每页显示 20 50 100
香山开源高性能RISC-V处理器设计与实现 被引量:2
1
作者 王凯帆 徐易难 +28 位作者 余子濠 唐丹 陈国凯 陈熙 勾凌睿 胡轩 金越 李乾若 李昕 蔺嘉炜 刘彤 刘志刚 王华强 王诲喆 张传奇 张发旺 张林隽 张紫飞 张梓悦 赵阳洋 周耀阳 邹江瑞 蔡晔 郇丹丹 李祖松 赵继业 何伟 孙凝晖 包云岗 《计算机研究与发展》 EI CSCD 北大核心 2023年第3期476-493,共18页
近年来以RISC-V为代表的开源指令集引领了开源处理器的设计潮流.然而,目前国内外的开源处理器性能尚未满足学术界和工业界的需求.为填补空白,香山处理器项目启动.香山是一款开源高性能RISC-V处理器,采用6发射超标量乱序执行设计,目前在... 近年来以RISC-V为代表的开源指令集引领了开源处理器的设计潮流.然而,目前国内外的开源处理器性能尚未满足学术界和工业界的需求.为填补空白,香山处理器项目启动.香山是一款开源高性能RISC-V处理器,采用6发射超标量乱序执行设计,目前在著名开源项目托管平台GitHub上获得超过3200个星标(Star),形成超过400个分支(Fork),成为国际上最热门的开源硬件项目之一,得到国内外企业和研究者的积极支持.香山处理器在近两年时间中历经两代版本演进,第一代“雁栖湖”微架构已经成功流片,回片性能符合预期;第二代“南湖”微架构已进入最后的优化迭代阶段,即将投片,据已知消息,其仿真评估性能在当前开源处理器中排名第一.主要讨论香山前两代微架构的实现细节与设计演进,并系统介绍开发香山过程中的各类挑战与经验. 展开更多
关键词 RISC-V 高性能处理器 开源 芯片设计 敏捷开发
下载PDF
非常规能源—氢的应用
2
作者 李式模 葛双燕 徐易难 《低温与特气》 CAS 1990年第2期38-42,共5页
氢是一种良好的载能体。自LH_2广泛应用于航天事业以来,世界各国对氢的生产、贮存、运输、应用、分配、安全技术和经济性等方面的研究均巳取得了重大的成就。由于世界矿物燃料终将耗尽,因而越来越多的人将注意力转向了氢,他们意识到氢... 氢是一种良好的载能体。自LH_2广泛应用于航天事业以来,世界各国对氢的生产、贮存、运输、应用、分配、安全技术和经济性等方面的研究均巳取得了重大的成就。由于世界矿物燃料终将耗尽,因而越来越多的人将注意力转向了氢,他们意识到氢具有作为未来燃料的潜力。如世界氢能会议(WHEC)第六届会议的副标题为“Transition to Hydrogen”,到1988年的第七届会议副标题改为“Hydrogen Today”,可见世界已把氢能提高到了非常重要的地位。在许多可供替换的燃料中。 展开更多
关键词 应用 能源
下载PDF
香山开源高性能RISC V处理器敏捷设计实践 被引量:1
3
作者 王凯帆 徐易难 +2 位作者 何伟 张科 包云岗 《单片机与嵌入式系统应用》 2022年第12期4-6,36,共4页
2019年,在中国科学院支持下,由中国科学院计算技术研究所牵头发起“香山”高性能开源RISC V处理器项目,研发出目前国际上性能最高的开源高性能RISC V处理器核“香山”,在开源项目托管平台GitHub上获得超过3000个星标,形成360多个分支,... 2019年,在中国科学院支持下,由中国科学院计算技术研究所牵头发起“香山”高性能开源RISC V处理器项目,研发出目前国际上性能最高的开源高性能RISC V处理器核“香山”,在开源项目托管平台GitHub上获得超过3000个星标,形成360多个分支,成为国际上广受关注的开源硬件项目之一,得到国内外企业的支持。2021年6月22日,“香山”开源高性能RISC V处理器在首届RISC V中国峰会上亮相,引起了各界的广泛关注。本文根据第二届RISC V中国峰会的主会报告“香山开源高性能RISC V处理器敏捷设计实践”整理而成,分享香山过去一年的总体进展。 展开更多
关键词 RISC V 高性能处理器 SERVE
下载PDF
陶瓷工业中的黄金——饰金工艺的历史与技术 被引量:2
4
作者 徐濬 徐易难 《景德镇陶瓷》 1989年第2期20-25,共6页
饰金从瓷器生产的初期就已实行。黄金的光辉色泽及其耐久性自然吸引了设计师和生产者。现在全世界每年大约有5吨黄金用在陶瓷制品的装饰上。本文叙述饰金工艺的发展。
关键词 陶瓷工业 饰金工艺 黄金
下载PDF
Functional Verification for Agile Processor Development: A Case for Workflow Integration
5
作者 徐易难 余子濠 +10 位作者 王凯帆 王华强 蔺嘉炜 金越 张林隽 张紫飞 唐丹 王卅 石侃 孙凝晖 包云岗 《Journal of Computer Science & Technology》 SCIE EI CSCD 2023年第4期737-753,共17页
Agile hardware development methodology has been widely adopted over the past decade.Despite the research progress,the industry still doubts its applicability,especially for the functional verification of complicated p... Agile hardware development methodology has been widely adopted over the past decade.Despite the research progress,the industry still doubts its applicability,especially for the functional verification of complicated processor chips.Functional verification commonly employs a simulation-based method of co-simulating the design under test with a reference model and checking the consistency of their outcomes given the same input stimuli.We observe limited collaboration and information exchange through the design and verification processes,dramatically leading to inefficiencies when applying the conventional functional verification workflow to agile development.In this paper,we propose workflow integration with collaborative task delegation and dynamic information exchange as the design principles to effectively address the challenges on functional verification under the agile development model.Based on workflow integration,we enhance the functional verification workflows with a series of novel methodologies and toolchains.The diff-rule based agile verification methodology(DRAV)reduces the overhead of building reference models with runtime execution information from designs under test.We present the RISC-V implementation for DRAV,DiffTest,which adopts information probes to extract internal design behaviors for co-simulation and debugging.It further integrates two plugins,namely XFUZZ for effective test generation guided by design coverage metrics and LightSSS for efficient fault analysis triggered by co-simulation mismatches.We present the integrated workflows for agile hardware development and demonstrate their effectiveness in designing and verifying RISC-V processors with 33 functional bugs found in NutShell.We also illustrate the efficiency of the proposed toolchains with a case study on a functional bug in the L2 cache of XiangShan. 展开更多
关键词 functional verification agile development open-source hardware workflow integration
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部