期刊文献+
共找到18篇文章
< 1 >
每页显示 20 50 100
适用于有限空间的高压电容器快速更换装置
1
作者 邱晓杰 吴武超 +3 位作者 张浪华 郑伟坤 傅一凡 关宏 《农村电气化》 2024年第5期76-78,81,共4页
高压电容器安装时主要采用人工方式,托举难度大、拆装风险高,需多人协作且费时费力,严重影响了运维检修工作效率。基于此,文章设计了一套有限空间下高压电容器快速更换装置,主要包括传输结构和支撑结构2部分。其中,传输结构设置垂直和... 高压电容器安装时主要采用人工方式,托举难度大、拆装风险高,需多人协作且费时费力,严重影响了运维检修工作效率。基于此,文章设计了一套有限空间下高压电容器快速更换装置,主要包括传输结构和支撑结构2部分。其中,传输结构设置垂直和水平传输装置,方便电容器模块起吊和进出,免去了搬运费力和容易掉落的问题,可提高检修工作效率;支撑结构采用焊接框架和调平元件,保证高压电容器快速更换装置在作业过程中有足够的安全系数,可强化现场作业管控。该装置实用价值显著,值得深入研究和拓展应用。 展开更多
关键词 高压电容器 有限空间 快速更换 智能控制
下载PDF
功耗受限情况下多核处理器能效优化方案 被引量:3
2
作者 邱晓杰 安虹 +2 位作者 陈俊仕 迟孟贤 金旭 《计算机工程》 CAS CSCD 北大核心 2017年第4期39-45,共7页
将处理器功耗控制在预算以下有助于降低散热成本和提升系统稳定性,但现有功耗优化方案大多依赖线下分析得到的先验知识,影响实用性,而集中式搜索最优策略的算法也存在复杂度过高的问题。为此,提出功耗优化方案PPCM。利用动态电压频率调... 将处理器功耗控制在预算以下有助于降低散热成本和提升系统稳定性,但现有功耗优化方案大多依赖线下分析得到的先验知识,影响实用性,而集中式搜索最优策略的算法也存在复杂度过高的问题。为此,提出功耗优化方案PPCM。利用动态电压频率调整(DVFS)技术控制CPU功耗在预算内以提高处理器能效。同时,将功耗控制和功耗分配解耦合以提高灵活性。采用动态调整的线性模型估计功耗,通过反馈控制技术对其进行调节。以计算访存比为指标在应用间分配功耗,并考虑多线程应用特征进行线程间功耗分配。实验结果表明,PPCM比Priority算法速度平均提高10.7%,能耗平均降低5.1%,能量-延迟积平均降低14.3%。与PCM CA算法相比,其速度平均提高4.5%,能量-延迟积平均降低5.0%。 展开更多
关键词 功耗控制 功耗分配 能效优化 动态电压频率调整 计算访存比 线程关键度
下载PDF
自由曲面加工中的等参数螺旋轨迹生成方法 被引量:23
3
作者 徐金亭 刘伟军 +1 位作者 邱晓杰 夏仁波 《机械工程学报》 EI CAS CSCD 北大核心 2010年第3期148-151,157,共5页
通过对刀具轨迹有效性的分析,将刀具轨迹规划分为曲面上曲线族的选择和有效合理排布方式的设计两个方面。以此为基础,针对数控加工中心的高速加工特性,提出一种等参数螺旋刀具轨迹生成方法。该方法以减少抬刀、路径转接为目的,并综合考... 通过对刀具轨迹有效性的分析,将刀具轨迹规划分为曲面上曲线族的选择和有效合理排布方式的设计两个方面。以此为基础,针对数控加工中心的高速加工特性,提出一种等参数螺旋刀具轨迹生成方法。该方法以减少抬刀、路径转接为目的,并综合考虑刀具轨迹几何与运动力学性能。首先以等参数线型轨迹为基础,生成等参数环形轨迹,进而以对角曲线连接相邻路径,构造能够实现连续切削的等参数螺旋轨迹。仿真试验表明该方法,简单实用,而且避免了轮廓偏置中的干涉检测,特别适用于自由曲面的高速数控加工。 展开更多
关键词 自由曲面 数控加工 螺旋轨迹
下载PDF
季节增温对内蒙古半干旱草地土壤化学计量特征的影响
4
作者 魏雯琳 邱晓杰 +3 位作者 王文瑞 柴诗杰 刘银占 吴大付 《草业科学》 CAS CSCD 北大核心 2023年第8期1988-1999,共12页
通过在内蒙古草地开展模拟全球气候变化的试验,测定分析土壤0-10、10-20 cm总有机碳(SOC)、总氮(TN)、总磷(TP)、铵态氮(NH4_(+)^(-)N)、硝态氮(NO_(3)^(-)-N)、有效磷(AP)的含量及生态化学计量特征,探究非生长季增温和生长季增温对我... 通过在内蒙古草地开展模拟全球气候变化的试验,测定分析土壤0-10、10-20 cm总有机碳(SOC)、总氮(TN)、总磷(TP)、铵态氮(NH4_(+)^(-)N)、硝态氮(NO_(3)^(-)-N)、有效磷(AP)的含量及生态化学计量特征,探究非生长季增温和生长季增温对我国北方温带草原生态系统土壤养分含量及生态化学计量比的影响。结果表明:1)非生长季增温和生长季增温改变了内蒙古草地土壤的养分含量及生态化学计量比,且对部分指标存在交互作用。非生长季增温对土壤养分含量的影响主要集中在0-10 cm土层,非生长季增温显著提高了0-10 cm土壤总有机碳含量(P<0.05)和10-20 cm土壤总磷含量(P<0.05),显著降低了0-10 cm土壤硝态氮含量(P<0.05)。而生长季增温显著提高了0-20 cm土壤铵态氮含量(P<0.05),显著降低了0-10 cm土壤硝态氮含量和10-20 cm土壤总有机碳含量(P<0.05)。此外,非生长季增温与生长季增温对0-10 cm土壤总有机碳、总磷和铵态氮含量存在显著交互影响(P<0.05)。2)非生长季增温显著降低了10-20 cm土层土壤中的N꞉P(P<0.05)。而生长季增温对C、N、P之间的化学计量比无显著影响(P>0.05)。此外,非生长季增温与生长季增温对0-10 cm土壤C꞉N、C꞉P、N꞉P和10-20 cm土壤C꞉N存在显著交互影响(P<0.05)。研究结果表明,非生长季气候变暖对我国北方典型草地土壤化学计量特征的影响跟生长季气候变暖同样重要,不同季节气候变暖之间存在交互作用,在未来全球变化研究中,应重点关注气候变暖的季节差异。 展开更多
关键词 气候变暖 季节差异 内蒙古 草地生态学系统 土壤有机碳 化学计量学 交互作用
下载PDF
香鳞毛蕨DfDXR基因克隆和表达模式分析
5
作者 马骞 袁强 +2 位作者 邱晓杰 宋春华 常缨 《华北农学报》 CSCD 北大核心 2023年第1期102-109,共8页
为探索1-去氧-D-木酮糖-5-磷酸还原异构酶(DXR)是否参与香鳞毛蕨对环境的抗逆功能,用PCR克隆并鉴定了香鳞毛蕨DfDXR基因,并通过在线预测网站对其蛋白序列进行生物信息学分析,利用实时荧光定量PCR(qRT-PCR)技术研究了DfDXR基因在不同化... 为探索1-去氧-D-木酮糖-5-磷酸还原异构酶(DXR)是否参与香鳞毛蕨对环境的抗逆功能,用PCR克隆并鉴定了香鳞毛蕨DfDXR基因,并通过在线预测网站对其蛋白序列进行生物信息学分析,利用实时荧光定量PCR(qRT-PCR)技术研究了DfDXR基因在不同化学物质和逆境胁迫处理下相对表达量的变化。结果表明,成功克隆出DfDXR基因的CDS序列全长1434 bp,编码477个氨基酸,DXR蛋白二级结构为alpha-beta型。蛋白质多序列比对以及进化树分析表明,DfDXR与铁线蕨AcDXR亲缘关系较近,与苹果和桃等植物的DXR蛋白亲缘关系较远,Motif分析表明,该蛋白含有PLN02696结构域,该结构域为DXR蛋白的保守结构域,亚细胞定位预测DXR蛋白定位于叶绿体上,与其他物种一致。对qRT-PCR数据进行分析显示,DfDXR的相对表达量在茉莉酸甲酯(MeJA)和聚乙二醇(PEG)处理后总体都呈现上调的趋势,并分别在1,12 h达到最高;NaCl处理下呈“降—升—降”的趋势,但各处理时间下的表达量均低于对照组;水杨酸(SA)、脱落酸(ABA)、乙烯利(ETH)、高温(HT)以及低温(LT)处理下,DfDXR的相对表达量也发生明显变化。在香鳞毛蕨响应不同非生物胁迫过程中,DfDXR均发挥调控作用,且DfDXR对不同化学物质和逆境胁迫的响应时间不同。 展开更多
关键词 香鳞毛蕨 DfDXR基因 非生物胁迫 萜类物质 功能验证
下载PDF
核聚变用CLF-1钢真空激光焊接
6
作者 张国瑜 徐诺 +2 位作者 徐国建 李午红 邱晓杰 《沈阳工业大学学报》 CAS 北大核心 2023年第5期527-533,共7页
针对核聚变用CLF-1钢的焊接问题,采用真空激光焊(VLW)技术研究了VLW焊接特性、焊缝的显微组织和力学性能.结果表明:随着真空度的降低,金属蒸气羽烟得到了良好抑制,焊缝金属氧化程度降低;相比常压激光焊接(ALW),热输入约降低了20%,VLW焊... 针对核聚变用CLF-1钢的焊接问题,采用真空激光焊(VLW)技术研究了VLW焊接特性、焊缝的显微组织和力学性能.结果表明:随着真空度的降低,金属蒸气羽烟得到了良好抑制,焊缝金属氧化程度降低;相比常压激光焊接(ALW),热输入约降低了20%,VLW焊缝晶粒尺寸明显减小,富Cr、Fe、W和C的椭圆状碳化物(M_(23)C_(6))及富Ta或V的高密度球状碳化物(MX)尺寸有所减小,起到了良好的弥散强化效果,且焊缝组织中无残余铁素体(δ-Fe)存在;VLW焊缝经高温回火(PWHT)后的冲击韧性为280 J,高出母材43 J,约为ALW焊缝冲击韧性的2.4倍,冲击试样断口为韧性断裂. 展开更多
关键词 CLF-1钢 真空激光焊接 常压激光焊接 金属蒸气羽烟 热输入 显微组织 MX碳化物 冲击韧性
下载PDF
基于深度学习的高温高粉尘铁水车液面识别
7
作者 郝飞飞 赵云涛 +1 位作者 李维刚 邱晓杰 《中文科技期刊数据库(全文版)工程技术》 2023年第12期0022-0027,共6页
在炼钢过程中,高炉冶炼的铁水需经过通过高炉铁口、铁沟、摆动溜槽后装入铁水罐中以便将铁水运输到转炉环节,铁水装罐是一个关键的过程,为了确保安全和准确性,通常是通过人眼经验操作或远程相机监控来进行。手动控制存在安全隐患,而远... 在炼钢过程中,高炉冶炼的铁水需经过通过高炉铁口、铁沟、摆动溜槽后装入铁水罐中以便将铁水运输到转炉环节,铁水装罐是一个关键的过程,为了确保安全和准确性,通常是通过人眼经验操作或远程相机监控来进行。手动控制存在安全隐患,而远程相机监控在铁水倾倒时可能受到高温光亮和烟雾遮挡等因素的限制,难以精准操作或实现自动化控制。针对高温的难点,提出一种基于深度学习的视觉识别方法,通过对铁水液面实时分割来间接计算铁水液位,达到铁水自动装罐的目的;针对烟雾遮挡的难点,提出通过去雾算法对采集到的图像进行预处理得到较为清晰的图片;针对铁水装罐过程中的液面特征,通过对YOLOV7实例分割算法进行改进,改进一是通过添加CrissCrossAttention注意力机制,降低背景的影响,使网络专注于被测目标,让检测的效果更好;二是参考了SPPF的思想,通过将SPPCSPC结构替换为SPPFCSPC结构,我们能够在保持感受野不变的情况下减少模型的参数量和计算量,从而实现速度的提升。同一数据集上的实验表明:相比改进前,该算法的掩膜与预测框 mAP 都提高了,检测没有训练的新样本,结果该模型速度比原YOLOv7快,可以快速精准的实现对铁水液面的检测与分割,证明了改进算法的优异性。 展开更多
关键词 去雾算法 实例分割 YOLOv7 注意力机制 SPPFCSPC 高温铁水罐
下载PDF
商用处理器上针对能耗优化的DVFS调节机制
8
作者 陈志韬 安虹 +2 位作者 邱晓杰 李丰 陈俊仕 《计算机工程》 CAS CSCD 北大核心 2017年第3期46-50,56,共6页
针对动态电压频率调节(DVFS)对应用程序运行时性能与功耗的影响,基于区间划分方法,使用现有商用处理器提供的性能监测单元,提出一种考虑访存延迟变化的DVFS性能预测模型,并利用该模型实现针对能耗优化的DVFS调节机制(eDVFS)。实验结果表... 针对动态电压频率调节(DVFS)对应用程序运行时性能与功耗的影响,基于区间划分方法,使用现有商用处理器提供的性能监测单元,提出一种考虑访存延迟变化的DVFS性能预测模型,并利用该模型实现针对能耗优化的DVFS调节机制(eDVFS)。实验结果表明,与Linux内核提供的ondemand调节策略相比,该eDVFS调节机制能够获得最大23%、平均6.85%的能耗优化。 展开更多
关键词 动态电压频率调节 功耗预测模型 性能预测模型 电压频率调节机制 能耗优化
下载PDF
树立新的会计观念应对WTO的挑战初探
9
作者 张银华 邱晓杰 赵蓉彬 《商业研究》 北大核心 2002年第24期105-106,共2页
20 0 1年 11月 10日 ,中国加入WTO了。中国顺应经济全球化的大趋势 ,融入了世界经济潮流之中 ,这必将对作为资源优化配置的会计市场以极大的冲击。面临挑战与机遇 ,中国应改变传统的会计观念 ,树立新的会计观念 ,制定出适应国际市场经... 20 0 1年 11月 10日 ,中国加入WTO了。中国顺应经济全球化的大趋势 ,融入了世界经济潮流之中 ,这必将对作为资源优化配置的会计市场以极大的冲击。面临挑战与机遇 ,中国应改变传统的会计观念 ,树立新的会计观念 ,制定出适应国际市场经济环境的经营发展战略 。 展开更多
关键词 WTO 会计观念
下载PDF
薄板铝合金的CMT焊接工艺 被引量:5
10
作者 徐国建 王子健 +2 位作者 杭争翔 郭云强 邱晓杰 《沈阳工业大学学报》 EI CAS 北大核心 2018年第6期637-642,共6页
为了解决利用传统焊接方法焊接铝合金时容易造成生产效率低、焊接变形大以及夹钨、裂纹、气孔等缺陷,对6082-T6铝合金进行了冷金属过渡焊,并确定了最佳焊接工艺参数.利用金相显微镜、扫描电子显微镜、能谱分析仪和X射线衍射仪对铝合金... 为了解决利用传统焊接方法焊接铝合金时容易造成生产效率低、焊接变形大以及夹钨、裂纹、气孔等缺陷,对6082-T6铝合金进行了冷金属过渡焊,并确定了最佳焊接工艺参数.利用金相显微镜、扫描电子显微镜、能谱分析仪和X射线衍射仪对铝合金的焊缝成型、显微组织与相组成进行了分析.利用维氏显微硬度计和万能拉伸试验机测量了焊接接头的硬度和拉伸力学性能.结果表明,在最佳焊接工艺参数下6082-T6铝合金焊缝成型良好,其焊缝组织主要由α-Al固溶体组成.焊接接头的拉伸断裂位置处于热影响区,其最高拉伸强度约为母材的61%,拉伸断口形貌为塑性断口. 展开更多
关键词 铝合金 冷金属过渡焊 工艺参数 显微组织 力学性能 焊接接头 热影响区 强度
下载PDF
飞机发动机叶片激光熔覆性能 被引量:6
11
作者 徐国建 郭云强 +2 位作者 李春光 唱丽丽 邱晓杰 《焊接学报》 EI CAS CSCD 北大核心 2018年第8期72-76,132,共6页
为了修复飞机发动机叶片(K417G)的铸造缺陷和损伤,采用了500W-IPG光纤激光熔覆系统将镍基合金粉末(RCF-201)熔覆到镍基高温合金K417G基体上.利用显微镜、扫描电子显微镜(SEM)、X射线衍射(XRD)、电子探针(EPMA)和能谱仪(EDS)等分析了堆... 为了修复飞机发动机叶片(K417G)的铸造缺陷和损伤,采用了500W-IPG光纤激光熔覆系统将镍基合金粉末(RCF-201)熔覆到镍基高温合金K417G基体上.利用显微镜、扫描电子显微镜(SEM)、X射线衍射(XRD)、电子探针(EPMA)和能谱仪(EDS)等分析了堆焊层的组织和成分,用显微硬度计分析了堆焊层硬度分布,用高温蠕变实验机分析了堆焊层高温蠕变性能.试验结果表明,熔覆层从熔合线到表面的组织依次由平面晶、柱状晶和等轴晶组成;熔覆层的组织为亚共晶组织,初晶相为富镍固溶体γ-Ni,共晶组织为γ-Ni+Cr_7C_3+Cr_(23)C_6+(Mo_(0.54),Ti_(0.46))C;熔覆层的硬度约为650 HV,约是母材硬度(350 HV)的1.86倍;在950℃/235 MPa条件下,激光熔敷试样的蠕变寿命最长约为26.17 h,且断裂位置位于母材. 展开更多
关键词 激光熔敷 高温合金K417G 亚共晶组织 初晶相 蠕变寿命
下载PDF
低碳钢与铝合金异种金属搭接激光-滚轮焊接 被引量:3
12
作者 徐国建 张国瑜 +2 位作者 李午红 杭争翔 邱晓杰 《沈阳工业大学学报》 EI CAS 北大核心 2019年第2期154-158,共5页
为了提高低碳钢与铝合金异种金属的焊接性能,采用激光-滚轮焊接工艺对低碳钢和铝合金搭接接头的焊接性能进行了研究,通过试验确定最佳工艺参数.采用激光显微镜和拉伸试验机分别分析了焊接接头组织和拉伸剪切性能.结果表明,随着激光功率... 为了提高低碳钢与铝合金异种金属的焊接性能,采用激光-滚轮焊接工艺对低碳钢和铝合金搭接接头的焊接性能进行了研究,通过试验确定最佳工艺参数.采用激光显微镜和拉伸试验机分别分析了焊接接头组织和拉伸剪切性能.结果表明,随着激光功率的减少或焊接速度的增加,金属间化合物层厚度和接合宽度随之减小.随着滚轮压力的增加,金属间化合物层厚度随之增加.当金属间化合物层厚度为4~6μm,热输入量范围为375~800 J/cm时,拉伸剪切试样断裂位置均位于低碳钢母材侧. 展开更多
关键词 激光-滚轮焊接 异种金属 搭接接头 拉伸剪切性能 金属间化合物 接合宽度 滚轮压力 热输入量
下载PDF
等离子堆焊镍基合金粉末的组织与性能 被引量:3
13
作者 徐国建 高飞 +2 位作者 杭争翔 张国瑜 邱晓杰 《沈阳工业大学学报》 EI CAS 北大核心 2018年第2期133-138,共6页
为了提高核电成套设备的阀体性能,利用光学显微镜、扫描电子显微镜、X射线衍射仪、电子探针显微分析仪和能谱仪分析了堆焊层的组织形态和成分分布,利用显微硬度计测量了堆焊层的硬度,利用磨损试验机分析了堆焊层的耐磨性.结果表明,堆焊... 为了提高核电成套设备的阀体性能,利用光学显微镜、扫描电子显微镜、X射线衍射仪、电子探针显微分析仪和能谱仪分析了堆焊层的组织形态和成分分布,利用显微硬度计测量了堆焊层的硬度,利用磨损试验机分析了堆焊层的耐磨性.结果表明,堆焊层主要由过共晶组织组成,从熔合线到堆焊表面堆焊层组织依次为平面晶生长区、亚共晶组织区、共晶组织区和过共晶组织区.堆焊层金属相由γ-Ni、CrB、Cr_2B、Cr_7C_3和Cr_(23)C_6组成,初晶相由硼化物(CrB或Cr_2B)和碳化物(Cr_7C_3或Cr_(23)C_6)组成,而共晶组织主要由富(Ni,Fe)奥氏体固溶体或富Ni奥氏体固溶体组成.堆焊层表面平均硬度达到50 HV以上,约为基体硬度的3~5倍,与母材相比堆焊层的耐磨性约提高了9倍. 展开更多
关键词 等离子堆焊 过共晶组织 共晶组织 亚共晶组织 初晶相 奥氏体固溶体 硬度 耐磨性
下载PDF
基于WiFi交错信号与深度神经网络的室内人群数量检测方法 被引量:4
14
作者 陈丹 阴存翊 +2 位作者 江灏 邱晓杰 陈静 《仪器仪表学报》 EI CAS CSCD 北大核心 2019年第7期178-186,共9页
针对现有室内人群数量检测方法存在适用场景范围受限、检测精度低等问题,提出一种基于深度神经网络的人群数量检测方法,无需被检测人员携带设备便可实现区域内人群数量检测。该方法采用多个Wi Fi传感节点覆盖室内区域,节点间通过相互探... 针对现有室内人群数量检测方法存在适用场景范围受限、检测精度低等问题,提出一种基于深度神经网络的人群数量检测方法,无需被检测人员携带设备便可实现区域内人群数量检测。该方法采用多个Wi Fi传感节点覆盖室内区域,节点间通过相互探测信号获得交错Wi Fi链路数据;运用深度神经网络进行特征学习,提取人数变化对Wi Fi信号影响的关联特征,训练得到该区域人群数量感知模型;将实时采集的Wi Fi信号送入该模型即可获得人群数量的估计。采用所提方法在一个较为复杂的室内环境进行了实验测试,结果表明该方法能够准确实现室内人数检测,检测精度达到82. 23%,平均误差仅为0. 37人;与现有其他机器学习算法相比,该模型具备更高的检测精度,适用于多种应用场景。 展开更多
关键词 无线网络 人数统计 无需携带设备 深度学习
下载PDF
香鳞毛蕨DfGNOM基因的克隆及其表达分析 被引量:4
15
作者 邱晓杰 汤珣 +3 位作者 官亚琳 张冬瑞 苏颖 常缨 《西北植物学报》 CAS CSCD 北大核心 2021年第8期1279-1286,共8页
GNOM是一种ADP核糖基化因子(ARF)的鸟嘌呤核苷酸交换因子(GEF),为探索GNOM在香鳞毛蕨(Dryopteris fragrans)中的抗逆功能,该研究克隆了DfGNOM并进行生物信息学分析,采用实时荧光定量PCR(qRT-PCR)方法分析了DfGNOM基因在不同植物激素及... GNOM是一种ADP核糖基化因子(ARF)的鸟嘌呤核苷酸交换因子(GEF),为探索GNOM在香鳞毛蕨(Dryopteris fragrans)中的抗逆功能,该研究克隆了DfGNOM并进行生物信息学分析,采用实时荧光定量PCR(qRT-PCR)方法分析了DfGNOM基因在不同植物激素及逆境胁迫处理下的表达模式,为进一步探索该基因的功能以及香鳞毛蕨的抗逆机制奠定基础。结果表明:(1)成功获得DfGNOM全长4338 bp,蛋白质多序列比对以及进化树分析表明,DfGNOM与江南卷柏(Selaginella moellendorffii)SmGNOM亲缘较近,motif分析表明该蛋白含有sec7保守结构域。(2)qRT-PCR分析显示,DfGNOM在香鳞毛蕨的根、叶柄和叶中均有表达,但在叶中表达量最高;DfGNOM的相对表达量在生长素(IAA)处理后总体上调,在脱落酸(ABA)处理后总体下调;在NaCl处理下呈“降-升-降”的变化趋势,高温和低温处理下呈“升-降-升”变化趋势;在茉莉酸甲酯(MeJA)、乙烯利(ETH)以及PEG处理下,DfGNOM的相对表达也表现出不同的模式。研究认为,DfGNOM在香鳞毛蕨非生物胁迫响应过程中发挥调控作用。 展开更多
关键词 DfGNOM 香鳞毛蕨 生物信息学 胁迫
下载PDF
激光3D打印工艺对钛合金质量的影响 被引量:13
16
作者 刘占起 徐国建 +3 位作者 王蔚 唱丽丽 邱晓杰 郑文涛 《沈阳工业大学学报》 EI CAS 北大核心 2020年第1期57-62,共6页
为了解决传统锻造方法锻造TC4钛合金时容易造成的生产效率低且锻造形状简单等问题,对TC4钛合金进行了激光3D打印,并确定了最佳激光3D打印工艺参数.利用金相显微镜和扫描电子显微镜对TC4钛合金单道打印层的成形质量、显微组织与相组成进... 为了解决传统锻造方法锻造TC4钛合金时容易造成的生产效率低且锻造形状简单等问题,对TC4钛合金进行了激光3D打印,并确定了最佳激光3D打印工艺参数.利用金相显微镜和扫描电子显微镜对TC4钛合金单道打印层的成形质量、显微组织与相组成进行了分析.利用显微维氏硬度计和万能拉伸试验机测量了打印层的硬度和拉伸力学性能.结果表明,在最佳工艺参数下TC4钛合金打印成形良好,其打印层组织主要由片层状α固溶体组成.打印层的Z向拉伸强度指标低于XY向,而Z向拉伸塑性指标高于XY向,且Z向强度和塑性指标均超越了TC4锻件国家标准(GB/T 25137-2010).同时Z向和XY向拉伸断口形貌均为塑性断口. 展开更多
关键词 正交试验 TC4钛合金 激光3D打印 工艺参数 机械性能 参数优化 显微组织 增材制造
下载PDF
高层建筑结构中剪力墙结构设计要点分析
17
作者 邱晓杰 《中文科技期刊数据库(引文版)工程技术》 2016年第12期0303-0303,共1页
近年来,随着中国经济的迅速崛起,人们对居住也提出新的要求,建筑行业迅猛发展。城市土地资源非常有限,而高层建筑是越来越多,在此种情况之下,没有其它方法可以解决城市住楼的问题,只有更好地利用土地资源,建立更高的楼层,才是比较有效... 近年来,随着中国经济的迅速崛起,人们对居住也提出新的要求,建筑行业迅猛发展。城市土地资源非常有限,而高层建筑是越来越多,在此种情况之下,没有其它方法可以解决城市住楼的问题,只有更好地利用土地资源,建立更高的楼层,才是比较有效的解决措施。在结构设计当中,基于高层建筑的抗震性和稳定性对高层建筑的剪力墙结构进行优化,既能满足结构安全和使用性能的要求,还可以达到降低成本的目的。基于此,此文章从专业角度分析了剪力墙的设计概念以及特点,并进行了高层建筑结构中剪力墙结构设计要点研究,从而达到设计的整体优化。 展开更多
关键词 高层建筑结构 剪力墙 结构设计 要点
下载PDF
宁南霉素对水稻纹枯病的防治试验
18
作者 宋春华 马骞 +2 位作者 苏佳萌 邱晓杰 常缨 《农药》 CAS CSCD 北大核心 2024年第3期217-223,共7页
[目的]水稻纹枯病是水稻主要病害之一,严重影响水稻的产量。宁南霉素(ningnanmycin)可有效防治多种农作物病害。[方法]测定了在感染纹枯病的水稻上喷施宁南霉素的生理生化指标;通过qRT-PCR分析了病程相关蛋白相关基因PR1-α、PR-3和PR-... [目的]水稻纹枯病是水稻主要病害之一,严重影响水稻的产量。宁南霉素(ningnanmycin)可有效防治多种农作物病害。[方法]测定了在感染纹枯病的水稻上喷施宁南霉素的生理生化指标;通过qRT-PCR分析了病程相关蛋白相关基因PR1-α、PR-3和PR-5的表达。[结果]与Rhizoctonia solani组相比,ningnanmycin+Rhizoctonia solani组水稻叶片的叶绿素a、叶绿素b、类胡萝卜素含量和β-1,3-葡聚糖酶、内切几丁质酶、PPO的活性在4~7 d显著增加;MDA、O_(2)^(-)和H_(2)O_(2)的含量在3~7 d显著降低;PAL、SOD、POD和CAT的活性在3~7 d显著增加;ningnanmycin+Rhizoctonia solani组水稻叶片的OsPR1-α、OsPR-3和OsPR-5基因的相对表达量在3~7 d显著高于Rhizoctonia solani组。[结论]宁南霉素通过诱导产生病程相关蛋白和保护酶,增强植株寄主抗性,可有效控制立枯丝核菌引起的水稻纹枯病。 展开更多
关键词 水稻 宁南霉素 水稻纹枯病 立枯丝核菌
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部