期刊文献+
共找到95篇文章
< 1 2 5 >
每页显示 20 50 100
先导式高精度电液比例阀控制器电路设计
1
作者 王传宇 孟祥冬 +3 位作者 闫传荣 倪云龙 丁涛杰 魏敬和 《液压与气动》 北大核心 2023年第9期38-48,共11页
电液比例阀广泛应用于电气化工程机械及一些高精度液压机床等领域。其中比例阀控制器是构成电液比例阀系统的关键部件。针对国内先导式电液比例阀控制器自研程度低、功能单一等问题,自主设计了一款高性能控制器。为保证推挽电路工作的... 电液比例阀广泛应用于电气化工程机械及一些高精度液压机床等领域。其中比例阀控制器是构成电液比例阀系统的关键部件。针对国内先导式电液比例阀控制器自研程度低、功能单一等问题,自主设计了一款高性能控制器。为保证推挽电路工作的可靠性,设计死区电路实现“快关慢开”的时序逻辑;并采用电压抬升方案设计“浮动地”,降低电路对宽电压供电的敏感性。该控制器能够同时输出6路高精度控制信号,还可复用为大功率输出。为验证控制器性能,设计了电气试验平台。测试结果表明,该控制器可耐受宽电压输入;输出信号在10 kHz频率下,误差低于0.3%,具备较高精度;设置为32 V/2.5 A功率输出功能时,器件壳温稳定在39℃,能够实现长期可靠工作。 展开更多
关键词 电液比例阀 高精度 控制器 控制电路 功率输出
下载PDF
用RLC互连线模型实现时钟电路的动态优化 被引量:2
2
作者 魏敬和 陈军宁 柯导明 《中国科学技术大学学报》 CAS CSCD 北大核心 2006年第3期338-343,共6页
根据RLC互连线的二极点模型,得到一个简单的估算信号延迟和上升沿的解析表达式,并利用其实现对高速时钟电路动态优化设计,以保证信号在传输过程中不失真;同时设计了一个模拟器来验证时钟电路的性能.模拟结果表明,我们的算法降低了计算... 根据RLC互连线的二极点模型,得到一个简单的估算信号延迟和上升沿的解析表达式,并利用其实现对高速时钟电路动态优化设计,以保证信号在传输过程中不失真;同时设计了一个模拟器来验证时钟电路的性能.模拟结果表明,我们的算法降低了计算的复杂度,缩短了时钟电路优化的时间. 展开更多
关键词 信号完整性 互连线 深亚微米 缓冲器
下载PDF
一种分析高速时钟网络信号完整性的有效方法 被引量:1
3
作者 魏敬和 陈军宁 +2 位作者 柯导明 吴建辉 陆生礼 《电子学报》 EI CAS CSCD 北大核心 2004年第2期335-337,共3页
本文基于有耗传输线模型 ,运用等效源理论首次分析了工作在GHz频率时时钟树电路互连系统对传输信号完整性的影响 ,对时钟树的‘T’型结构引入三端口网络 。
关键词 互连线 信号完整性 等效源理论
下载PDF
基于8051核的数字电路系统验证技术 被引量:2
4
作者 魏敬和 虞致国 《中国电子科学研究院学报》 2007年第5期539-543,共5页
SoC设计的重要特征是IP集成,但是不同IP模块的集成给SoC验证工作带来大量的问题。文中基于8051核的总线构建一个8位SoC设计验证平台,该平台可重用IP模块的激励文件,并利用现有的EDA工具对不同设计阶段进行软硬件协同仿真,大大减轻系统... SoC设计的重要特征是IP集成,但是不同IP模块的集成给SoC验证工作带来大量的问题。文中基于8051核的总线构建一个8位SoC设计验证平台,该平台可重用IP模块的激励文件,并利用现有的EDA工具对不同设计阶段进行软硬件协同仿真,大大减轻系统验证的工作量。 展开更多
关键词 片上系统 系统验证 IP复用
下载PDF
21世纪新概念武器的特点及应对策略 被引量:8
5
作者 魏敬和 《中国电子科学研究院学报》 2011年第2期136-139,共4页
首先介绍新概念武器的定义,并利用德菲尔专家调查法的研究方法,对重点关注的新概念武器进行技术分析研究,揭示新概念武器在新的历史发展进程中的技术特点,并提出应对策略,为我国未来新概念武器装备的发展和在此方面的技术研究提供指导。
关键词 新概念武器 德尔菲专家调查法 能量武器 信息武器
下载PDF
SoC低功耗设计及其技术实现 被引量:3
6
作者 魏敬和 吴晓洁 虞致国 《电子与封装》 2009年第5期20-23,共4页
文章根据低功耗设计理论和方法,分别从系统级、模块级及RTL级三个层次上考虑一款SoC芯片功耗设计。在系统级采用工作模式管理方式,在模块级采用软件管理的方式,RTL级采用门控方式,三种方式的应用大大降低芯片了的功耗。仿真分析表明,该... 文章根据低功耗设计理论和方法,分别从系统级、模块级及RTL级三个层次上考虑一款SoC芯片功耗设计。在系统级采用工作模式管理方式,在模块级采用软件管理的方式,RTL级采用门控方式,三种方式的应用大大降低芯片了的功耗。仿真分析表明,该芯片的低功耗设计策略取得了预期的效果,实现了较低的动态功耗与很低的静态功耗。该SoC采用0.18μm CMOS工艺库实现,面积为7.8mm×7.8mm,工作频率为80MHz,平均功耗为454.268mW。 展开更多
关键词 低功耗 系统芯片 功耗管理 门控时钟
下载PDF
基于OFDM技术的有线传输系统仿真 被引量:2
7
作者 魏敬和 邹家轩 +2 位作者 张荣 钱黎明 张科新 《电子与封装》 2012年第2期19-22,共4页
文章对高速载波调制解调技术原理及其实现技术进行了研究,并根据MIL-STD-1553A/B实际传输的硬件系统,建立信道模型及噪声模型。该系统由基带信号处理和线缆驱动两部分组成,通过对传输系统中各处理模块的分析,并利用Matlab Simulink仿真... 文章对高速载波调制解调技术原理及其实现技术进行了研究,并根据MIL-STD-1553A/B实际传输的硬件系统,建立信道模型及噪声模型。该系统由基带信号处理和线缆驱动两部分组成,通过对传输系统中各处理模块的分析,并利用Matlab Simulink仿真平台进行系统级仿真,仿真结果表明OFDM调制解调技术获得10-5较低的误码率,可应用于已有的1553总线这样的高速有线传输系统。 展开更多
关键词 正交频分复用 信噪比 高速总线
下载PDF
用分量匹配法实现对高速时钟电路的动态优化
8
作者 魏敬和 陈军宁 +3 位作者 柯导明 时龙兴 陆生礼 樊进 《电子学报》 EI CAS CSCD 北大核心 2004年第9期1569-1571,共3页
本文讨论如何设计工作在GHz频率下的VLSI芯片时钟电路 .时钟树采用平衡平面布局消除时钟偏差 ;利用插入缓冲器对电路性能进行动态优化 .最后用一个电路模拟软件对电路进行评估 .和以往的工作相比较 ,本文实现了在频域内对时钟电路的优... 本文讨论如何设计工作在GHz频率下的VLSI芯片时钟电路 .时钟树采用平衡平面布局消除时钟偏差 ;利用插入缓冲器对电路性能进行动态优化 .最后用一个电路模拟软件对电路进行评估 .和以往的工作相比较 ,本文实现了在频域内对时钟电路的优化 ,显著地提高了仿真速度 . 展开更多
关键词 分量匹配法 时钟电路 传输线
下载PDF
抓住机遇 促进航天SoC快速发展 被引量:1
9
作者 魏敬和 于宗光 《航天标准化》 2013年第2期9-11,16,共4页
结合当前国内外SoC发展新特点,提出未来航天SoC发展模式和"面向应用、突出重点、明确目标、分步实施"的快速发展的建议,并指出要从系统的角度分析和解决问题,以达到能够在较短时间内快速提升我国航天元器件技术水平的目的。
关键词 SOC 抗幅照技术 航天技术
下载PDF
强电磁场中的温度测量技术的研究
10
作者 魏敬和 张震宇 +1 位作者 褚家美 杨鸿生 《光电子技术》 CAS 1998年第1期80-84,共5页
文章概述了在强电磁场中用红外辐射光纤传感器测量温度的原理和实验装置。
关键词 电磁场 温度测量 光纤传感器 红外辐射
下载PDF
基于OFDM技术的有线传输系统仿真
11
作者 魏敬和 邹家轩 +2 位作者 张荣 钱黎明 张科新 《电子与封装》 2012年第3期25-28,共4页
文章对高速载波调制解调技术原理及其实现技术进行研究,并根据MIL-STD-1553A/B实际传输的硬件系统,建立信道模型及噪声模型。该系统由基带信号处理和线缆驱动两部分组成,通过对传输系统中各处理模块的分析,并利用Matlab Simulink仿真平... 文章对高速载波调制解调技术原理及其实现技术进行研究,并根据MIL-STD-1553A/B实际传输的硬件系统,建立信道模型及噪声模型。该系统由基带信号处理和线缆驱动两部分组成,通过对传输系统中各处理模块的分析,并利用Matlab Simulink仿真平台进行系统级仿真,仿真结果表明OFDM调制解调技术获得10-5较低的误码率,可应用于已有的1553总线这样的高速有线传输系统。 展开更多
关键词 正交频分复用 信噪比 高速总线
下载PDF
低功耗时间交织12位500MS/s电荷域ADC 被引量:7
12
作者 陈珍海 魏敬和 +3 位作者 苏小波 邹家轩 张鸿 于宗光 《西安电子科技大学学报》 EI CAS CSCD 北大核心 2017年第6期109-115,137,共8页
针对外部输入共模电荷变化及失调误差对高速电荷域流水线模数转换器精度产生限制的问题,提出了一种输入共模电荷前馈补偿电路和一种失调误差数模混合前台校准技术,可对输入共模电荷变化产生的共模电荷误差量和失调误差进行补偿.基于所... 针对外部输入共模电荷变化及失调误差对高速电荷域流水线模数转换器精度产生限制的问题,提出了一种输入共模电荷前馈补偿电路和一种失调误差数模混合前台校准技术,可对输入共模电荷变化产生的共模电荷误差量和失调误差进行补偿.基于所提出的输入共模电荷前馈补偿电路和失调误差前台校准技术,在1P6M0.18μm CMOS工艺条件下设计了一款12bit、500MS/s时间交织电荷域流水线模数转换器.测试结果表明,该模数转换器样片在全速采样时对于19.9MHz正弦输入信号转换得到的无杂散动态范围为77.5dB,信噪失真比为62.7dBFS;并且输入共模电压在1.2V内变化时模数转换器的信噪比波动小于3dB,而功耗为220mW,有源芯片面积为624mm2. 展开更多
关键词 流水线模数转换器 电荷域 时间交织 前馈补偿 失调校准
下载PDF
基于平均频谱测试高速ADC动态参数的方法 被引量:11
13
作者 何芹 黄朴 +2 位作者 虞致国 魏敬和 顾晓峰 《电子测量与仪器学报》 CSCD 2014年第7期755-762,共8页
利用快速傅里叶变换进行模数转换器(ADC)动态参数测试很难做到相干采样和整周期截断,因此非相干采样存在必然性,由此造成的频谱泄漏将影响动态参数的测量结果。针对此问题,提出了一种基于平均频谱测试高速ADC动态参数的方法。基于MATLA... 利用快速傅里叶变换进行模数转换器(ADC)动态参数测试很难做到相干采样和整周期截断,因此非相干采样存在必然性,由此造成的频谱泄漏将影响动态参数的测量结果。针对此问题,提出了一种基于平均频谱测试高速ADC动态参数的方法。基于MATLAB构建了验证平台,采用美国模拟器件公司(ADI)的典型高速ADC产品的行为级动态模型进行了仿真验证。仿真结果表明,在非相干程度最大的情况下,基于不同窗函数的平均频谱测试得到的动态参数误差低于1.67%,且达到了IEEE Std 1241-2000的测试标准,降低了测试系统构建难度和成本。 展开更多
关键词 模数转换器 动态参数测试 非相干采样 平均频谱 频谱泄漏
下载PDF
用于16bit 100MS/s ADC的高精度参考电压产生电路 被引量:7
14
作者 陈珍海 于宗光 +3 位作者 李现坤 魏敬和 黄嵩人 苏小波 《西安电子科技大学学报》 EI CAS CSCD 北大核心 2017年第3期127-132,180,共7页
设计了一种应用于16bit 100MS/s流水线模数转换器的输出可调参考电压产生电路.通过采用电流求和以及浮动电流源控制技术,设计了一种快速响应、高精度、输出电压可调的参考电压缓冲器.该缓冲器通过采用推挽输出和复制电路结构,在进一步... 设计了一种应用于16bit 100MS/s流水线模数转换器的输出可调参考电压产生电路.通过采用电流求和以及浮动电流源控制技术,设计了一种快速响应、高精度、输出电压可调的参考电压缓冲器.该缓冲器通过采用推挽输出和复制电路结构,在进一步提高输出参考电压的电源抑制比的同时,减小了输出阻抗.16bit 100MS/s模数转换器电路采用0.18μm 1P6M 1.8VCMOS工艺实现,测试结果表明,参考电压产生电路模块的功耗为23mW,面积为1.3mm×2.0mm,在-55℃~125℃范围内的温度系数为16×10^(-6)℃^(-1);整体模数转换器电路在全速采样条件下对于10.1MHz的输入信号得到的信噪比为76.3dB,无杂散动态范围为89.2dB,功耗为300mW,面积为3.5mm×5.0mm. 展开更多
关键词 流水线模数转换器 参考电压 电压缓冲器 高精度
下载PDF
采用1.75 Gbps串行发送器的低功耗14位125 MSPS ADC 被引量:6
15
作者 陈珍海 于宗光 +2 位作者 魏敬和 苏小波 万书芹 《电子测量与仪器学报》 CSCD 北大核心 2017年第1期132-138,共7页
提供了一种适宜于多通道集成的低功耗、小面积14位125 MSPS流水线模数转换器(ADC)。该ADC基于开关电容流水线ADC结构,采用无前端采样保持放大器、4.5位第一级子级电路、电容逐级缩减和电流模串行输出技术设计并实现。各级流水线子级电... 提供了一种适宜于多通道集成的低功耗、小面积14位125 MSPS流水线模数转换器(ADC)。该ADC基于开关电容流水线ADC结构,采用无前端采样保持放大器、4.5位第一级子级电路、电容逐级缩减和电流模串行输出技术设计并实现。各级流水线子级电路中所用运算放大器使用改进的"米勒"补偿技术,在不增加电流的条件下实现了更大带宽,进一步降低了静态功耗;采用1.75 Gbps串行数据发送器,数据输出接口减少到2个。该ADC电路采用0.18μm 1P5M 1.8 V CMOS工艺实现,测试结果表明,该ADC电路在全速采样条件下对于10.1 MHz的输入信号得到的SNR为72.5 d BFS,SFDR为83.1 d B,功耗为241 m W,面积为1.3 mm×4 mm。 展开更多
关键词 流水线模数转换器 运算放大器 电流模发送器 低功耗
下载PDF
百万门级系统芯片低功耗技术研究 被引量:3
16
作者 于宗光 杨兵 +2 位作者 魏敬和 单悦尔 曹华锋 《微电子学》 CAS CSCD 北大核心 2015年第2期217-220,224,共5页
针对超大规模集成电路低功耗设计技术市场需求的迅速增大,提出了一种新的百万门级系统芯片低功耗设计流程,重点分析了芯片系统级、电路级、逻辑级与物理级四个不同的层次的低功耗设计方法,包括系统构架、时钟与功耗管理算法等低功耗关... 针对超大规模集成电路低功耗设计技术市场需求的迅速增大,提出了一种新的百万门级系统芯片低功耗设计流程,重点分析了芯片系统级、电路级、逻辑级与物理级四个不同的层次的低功耗设计方法,包括系统构架、时钟与功耗管理算法等低功耗关键技术。以某新型雷达SoC低功耗设计为例,采用SMIC 0.18μm 1P6M CMOS工艺进行设计,版图尺寸为7.825 mm×7.820mm,规模约为200万门。实验结果表明,在100MHz工作频率下,采用新的低功耗设计流程后,前端设计阶段功耗降低了42.79%,后端设计阶段功耗降低了12.77%,芯片总功耗仅为350 mW。样品电路通过了用户某新型相控阵雷达系统的应用验证,满足小型化和低功耗的要求。 展开更多
关键词 低功耗 百万门级 系统级 电路级 逻辑级 物理级
下载PDF
一种数字信号处理器的单粒子翻转加固设计 被引量:5
17
作者 薛海卫 沈婧 +1 位作者 王进祥 魏敬和 《固体电子学研究与进展》 CAS 北大核心 2021年第4期299-303,共5页
为了降低数字信号处理器(DSP)电路在太空中发生单粒子翻转事件,本文从触发器单元、存储模块及电路系统三个层面论述了DSP的抗单粒子翻转加固设计。采用该抗单粒子翻转加固方法,实现了一款基于0.18μm CMOS体硅工艺的DSP电路,该电路逻辑... 为了降低数字信号处理器(DSP)电路在太空中发生单粒子翻转事件,本文从触发器单元、存储模块及电路系统三个层面论述了DSP的抗单粒子翻转加固设计。采用该抗单粒子翻转加固方法,实现了一款基于0.18μm CMOS体硅工艺的DSP电路,该电路逻辑规模约为150万门,面积为9.3 mm×9.3 mm。通过重离子加速器模拟试验评估,该DSP电路的单粒子翻转率约为4.37×10^(-11)错误/(位·天)(GEO轨道,等效3 mm Al屏蔽)。 展开更多
关键词 数字信号处理器 单粒子翻转 抗单粒子翻转加固设计 辐照试验
下载PDF
一种10Mb/s 1553B总线接口的设计 被引量:5
18
作者 杨兵 于宗光 魏敬和 《微电子学》 CAS CSCD 北大核心 2012年第6期782-786,791,共6页
1553B是一种数字式命令/响应型时分多路航空数据总线标准。传统的1Mb/s 1553B总线接口电路已不能满足现代高速航空、航天数据通讯的要求。介绍了10Mb/s 1553B总线接口的设计,对关键模块进行了详细分析与设计,并通过编译平台Modelsim6.0... 1553B是一种数字式命令/响应型时分多路航空数据总线标准。传统的1Mb/s 1553B总线接口电路已不能满足现代高速航空、航天数据通讯的要求。介绍了10Mb/s 1553B总线接口的设计,对关键模块进行了详细分析与设计,并通过编译平台Modelsim6.0进行功能仿真,采用Xilinx VertexⅣFPGA硬件系统进行组网应用验证。结果表明,该设计满足1553B协议功能要求,总线速率达到10Mb/s,满足10Mb/s 1553B总线组网应用的需求。 展开更多
关键词 1553B总线 总线接口 FPGA
下载PDF
双通道可重构14 bit 125 MS/s流水线ADC 被引量:2
19
作者 张惠国 陈珍海 +3 位作者 孙伟锋 周德金 于宗光 魏敬和 《东南大学学报(自然科学版)》 EI CAS CSCD 北大核心 2017年第4期649-654,共6页
提出了一种双通道可重构14 bit 125 MS/s流水线模数转换器(ADC).该双通道14 bit ADC可工作在并行双通道14 bit 125 MS/s、时间交织14 bit 250 MS/s以及求和15 bit 125 MS/s三种模式.为抑制通道间失配误差的影响,提出一种数模混合前台校... 提出了一种双通道可重构14 bit 125 MS/s流水线模数转换器(ADC).该双通道14 bit ADC可工作在并行双通道14 bit 125 MS/s、时间交织14 bit 250 MS/s以及求和15 bit 125 MS/s三种模式.为抑制通道间失配误差的影响,提出一种数模混合前台校准技术.为减少ADC输出端口数目,数据输出由高速串行数据发送器驱动,并且其工作模式有1.75,2,3.5 Gbit/s三种.该ADC电路采用0.18μm 1P5M 1.8 V CMOS工艺实现,测试结果表明,对于相同的10.1 MHz的输入信号,该ADC电路在14 bit 125 MS/s模式下的SNR和SFDR分别为72.5 dBFS和83.1dB,在14 bit 250 MS/s模式下的SNR和SFDR分别为71.3 dBFS和77.6 dB,在15 bit 125 MS/s模式下的SNR和SFDR分别为75.3 dBFS和87.4 dB.芯片总体功耗为461 mW,单通道ADC内核功耗为210 mW,面积为1.3×4 mm^2. 展开更多
关键词 流水线模数转换器 可重构 时间交织 电流模发送器
下载PDF
6-T CMOS SRAM单元稳定性分析及设计优化 被引量:2
20
作者 蔡洁明 魏敬和 +2 位作者 刘士全 胡水根 印琴 《半导体技术》 CAS CSCD 北大核心 2015年第4期261-272,共12页
介绍了一种由两个交叉耦合反向器构成的6-晶体管(6-T)存储单元的噪声容限分析方法。对6-T CMOS SRAM单元的稳定性作了分析及仿真。借助SPICE和MATLAB工具,对存储单元在数据保持和数据读取时的稳定性、数据写入过程中的可靠性及其之间的... 介绍了一种由两个交叉耦合反向器构成的6-晶体管(6-T)存储单元的噪声容限分析方法。对6-T CMOS SRAM单元的稳定性作了分析及仿真。借助SPICE和MATLAB工具,对存储单元在数据保持和数据读取时的稳定性、数据写入过程中的可靠性及其之间的关系进行了深入研究。对可能影响噪声容限的因素,如单元比、上拉比、MOS管的阈值电压、位线预充电压、电源电压以及温度进行了仿真讨论,并从中得到合适的电路设计参数。流片结果表明,理论分析与实测数据相符。分析数据对基于CSMC 0.5μm CMOS工艺的SRAM电路设计优化具有指导作用。 展开更多
关键词 6-T存储单元 噪声容限 读稳定性 写可靠性 设计优化
下载PDF
上一页 1 2 5 下一页 到第
使用帮助 返回顶部