期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
Inkjet printing technology for increasing the I/O density of 3D TSV interposers 被引量:1
1
作者 Behnam Khorramdel Jessica Liljeholm +5 位作者 mika-matti Laurila Toni Lammi gustaf mårtensson Thorbjörn Ebefors Frank Niklaus matti mäntysalo 《Microsystems & Nanoengineering》 EI CSCD 2017年第1期349-357,共9页
Interposers with through-silicon vias(TSVs)play a key role in the three-dimensional integration and packaging of integrated circuits and microelectromechanical systems.In the current practice of fabricating interposer... Interposers with through-silicon vias(TSVs)play a key role in the three-dimensional integration and packaging of integrated circuits and microelectromechanical systems.In the current practice of fabricating interposers,solder balls are placed next to the vias;however,this approach requires a large foot print for the input/output(I/O)connections.Therefore,in this study,we investigate the possibility of placing the solder balls directly on top of the vias,thereby enabling a smaller pitch between the solder balls and an increased density of the I/O connections.To reach this goal,inkjet printing(that is,piezo and super inkjet)was used to successfully fill and planarize hollow metal TSVs with a dielectric polymer.The under bump metallization(UBM)pads were also successfully printed with inkjet technology on top of the polymer-filled vias,using either Ag or Au inks.The reliability of the TSV interposers was investigated by a temperature cycling stress test(−40℃ to+125℃).The stress test showed no impact on DC resistance of the TSVs;however,shrinkage and delamination of the polymer was observed,along with some micro-cracks in the UBM pads.For proof of concept,SnAgCu-based solder balls were jetted on the UBM pads. 展开更多
关键词 heterogeneous three-dimensional(3D)integration inkjet printing interposer microelectromechanical system(MEMS) reliability super inkjet(SIJ) through silicon via(TSV)
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部