期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
Multiple SiGe/Si layers epitaxy and SiGe selective etching for vertically stacked DRAM
1
作者 Zhenzhen Kong Hongxiao Lin +20 位作者 Hailing Wang Yanpeng Song Junjie Li Xiaomeng Liu Anyan Du Yuanhao Miao Yiwen Zhang Yuhui Ren Chen Li Jiahan Yu Jinbiao Liu Jingxiong Liu Qinzhu Zhang Jianfeng Gao Huihui Li Xiangsheng Wang Junfeng Li henry hradamson Chao Zhao Tianchun Ye Guilei Wang 《Journal of Semiconductors》 EI CAS CSCD 2023年第12期133-140,共8页
Fifteen periods of Si/Si_(0.7)Ge_(0.3)multilayers(MLs)with various Si Ge thicknesses are grown on a 200 mm Si substrate using reduced pressure chemical vapor deposition(RPCVD).Several methods were utilized to characte... Fifteen periods of Si/Si_(0.7)Ge_(0.3)multilayers(MLs)with various Si Ge thicknesses are grown on a 200 mm Si substrate using reduced pressure chemical vapor deposition(RPCVD).Several methods were utilized to characterize and analyze the ML structures.The high resolution transmission electron microscopy(HRTEM)results show that the ML structure with 20 nm Si_(0.7)Ge_(0.3)features the best crystal quality and no defects are observed.Stacked Si_(0.7)Ge_(0.3)ML structures etched by three different methods were carried out and compared,and the results show that they have different selectivities and morphologies.In this work,the fabrication process influences on Si/Si Ge MLs are studied and there are no significant effects on the Si layers,which are the channels in lateral gate all around field effect transistor(L-GAAFET)devices.For vertically-stacked dynamic random access memory(VS-DRAM),it is necessary to consider the dislocation caused by strain accumulation and stress release after the number of stacked layers exceeds the critical thickness.These results pave the way for the manufacture of high-performance multivertical-stacked Si nanowires,nanosheet L-GAAFETs,and DRAM devices. 展开更多
关键词 RPCVD EPITAXY SiGe/Si multilayers L-GAAFETs VS-DRAM
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部