期刊文献+
共找到14篇文章
< 1 >
每页显示 20 50 100
激光微织构与自组装对铝合金表面润湿性的影响 被引量:6
1
作者 李杰 王超磊 +2 位作者 刘玉德 高东明 张会臣 《材料工程》 EI CAS CSCD 北大核心 2018年第1期53-60,共8页
利用激光加工在铝合金表面进行微织构,通过自组装工艺在微织构表面修饰有机硅烷分子膜,制备得到具有疏水/超疏水性表面。利用扫描电镜、三维形貌仪、接触角测量仪对微织构表面微观形貌和润湿性进行表征。结果表明:激光微织构具有的微米... 利用激光加工在铝合金表面进行微织构,通过自组装工艺在微织构表面修饰有机硅烷分子膜,制备得到具有疏水/超疏水性表面。利用扫描电镜、三维形貌仪、接触角测量仪对微织构表面微观形貌和润湿性进行表征。结果表明:激光微织构具有的微米级粗糙结构与自组装分子膜的共同作用对超疏水表面的构建具有重要作用;接触角随激光微织构加工间距(50~100μm)的减小而增大,且与微织构的形貌类型相关。漂浮承载实验表明,超疏水表面符合Cassie-Baxter状态模型,且可有效提高平台的漂浮承载能力。 展开更多
关键词 润湿性 激光微织构 自组装 接触角 承载力
下载PDF
选区激光熔化成形316L表面质量及工艺试验研究 被引量:16
2
作者 石文天 王朋 +2 位作者 刘玉德 韩冬 侯岩军 《表面技术》 EI CAS CSCD 北大核心 2019年第3期257-267,共11页
目的提高选区激光熔化成形316L不锈钢的成形表面质量,达到高质高效成形效果。方法采用380W功率的激光进行SLM成形,对比160μm大层厚和1000 mm/s以上高速率两种工艺组合,对表面及截面缺陷形成机理进行试验研究,检测其表面形貌、致密度、... 目的提高选区激光熔化成形316L不锈钢的成形表面质量,达到高质高效成形效果。方法采用380W功率的激光进行SLM成形,对比160μm大层厚和1000 mm/s以上高速率两种工艺组合,对表面及截面缺陷形成机理进行试验研究,检测其表面形貌、致密度、微观组织、力学性能等,探索316L高质高效打印成形的工艺方法。结果选区激光熔化成形316L不锈钢主要有球化、搭接、熔池间未熔合的表面缺陷,截面具有气孔、球化、熔池间未熔合的缺陷。曝光时间对于大层厚成形截面质量影响最大,增加曝光时间会提高成形致密度;而较小的曝光时间和点距以及线间距更有利于高速率成形。在1000 mm/s高速率试验条件下,即曝光时间、点距、线间距分别为30μs、30μm、90μm时,试件致密度达到99.99%。结论高速率成形的截面质量通过工艺优化组合可达到高致密度,且通过表面重熔工艺改善表面效果明显,整体性能最优。大层厚参数打印成形虽可达到高致密度,但在表面质量方面与高速率成形参数存在较大差距。综合比较,高速率成形在保证较好表面质量的前提下可以达到高致密度。 展开更多
关键词 选区激光熔化技术 316L不锈钢 表面质量 缺陷 致密度
下载PDF
选区激光熔化TC4球化飞溅机理及其试验研究 被引量:4
3
作者 石文天 韩玉凡 +2 位作者 刘玉德 景艳龙 Bing Ren 《表面技术》 EI CAS CSCD 北大核心 2021年第11期75-82,共8页
目的提升单熔道、单层面的成形质量和打印精度,通过对球化、飞溅缺陷机理的研究及试验探索,寻找减少其产生的最优工艺路径。方法采用不同激光功率、点间距、线间距的打印策略成形单熔道,通过单熔道的成形质量,初步选取表面质量较好的成... 目的提升单熔道、单层面的成形质量和打印精度,通过对球化、飞溅缺陷机理的研究及试验探索,寻找减少其产生的最优工艺路径。方法采用不同激光功率、点间距、线间距的打印策略成形单熔道,通过单熔道的成形质量,初步选取表面质量较好的成形工艺参数范围,进行单层面的成形试验。在单熔道、单层面成形试验中,进行球化、飞溅缺陷产生的研究和分析,探讨其产生机理及对表面质量的影响,并进一步进行单层面试验研究,找到合理的工艺参数取值范围,以此提升单层面表面质量。结果球化、飞溅缺陷对于单熔道、单层面的成形质量及精度都有较大影响。能量密度是影响缺陷产生的主要原因,适当的能量密度可以提升表面质量,线能量密度在0.4~0.6 J/mm、面能量密度在4~6 J/mm^(2)时,所成形的样件表面较为平整,球化、飞溅缺陷明显减少,成形质量好,精度较高。结论当能量密度合适时,球化、飞溅缺陷明显减少,单熔道、单层面的成形效果好,流动均匀且连续。球化、飞溅缺陷有一定的规律性,可以通过最优工艺参数进行避免。 展开更多
关键词 选区激光熔化 TC4钛合金 单熔道 打印策略 球化缺陷 飞溅缺陷 能量密度
下载PDF
麦麸添加量和粒度对饺子皮品质的影响 被引量:3
4
作者 陶春生 王克俭 +2 位作者 刘学军 刘玉德 李京赞 《食品工业科技》 CAS 北大核心 2019年第11期28-32,共5页
为了研究麦麸添加量和粒度对饺子皮品质的影响。以饺子粉和不同添加量(1%、3%、5%)及粒度(0.096、0.12、0.18、0.38 mm)的麦麸为原料制成饺子皮,测试饺子皮的质构特性和蒸煮特性,并对饺子皮进行感官评价和微观结构进行观察。结果表明,... 为了研究麦麸添加量和粒度对饺子皮品质的影响。以饺子粉和不同添加量(1%、3%、5%)及粒度(0.096、0.12、0.18、0.38 mm)的麦麸为原料制成饺子皮,测试饺子皮的质构特性和蒸煮特性,并对饺子皮进行感官评价和微观结构进行观察。结果表明,硬度、胶粘性随着麦麸添加量的增加而显著增加(p<0.05),且随着麦麸粒度的减小而增加;吸水率随着麦麸添加量的增加而显著增加(p<0.05),且随着麦麸粒度的减小而增加;蒸煮损失率随着麦麸添加量的增加而显著减小(p<0.05),且随着麦麸粒度的减小而减小;麦麸可以改善饺子皮口感,增加饺子皮的麦麸香味,但饺子皮的颜色、光泽、光滑性等会有所下降;随着麦麸添加量的增加和粒度的减小,面筋网络结构更加紧密,空隙减小。研究表明,添加5%的粒度为0.12 mm左右的麦麸时,感官评价最好,饺子皮具有较好的综合品质。 展开更多
关键词 麦麸 饺子皮 质构特性 蒸煮特性 感官评价
下载PDF
芳纶纤维复合材料制孔表面缺陷机理及工艺试验研究 被引量:3
5
作者 石文天 庞庆超 +2 位作者 刘玉德 李杰 任冰 《表面技术》 EI CAS CSCD 北大核心 2022年第1期184-191,共8页
目的减少芳纶纤维复合材料制孔的表面缺陷。方法通过对芳纶纤维复合材料进行钻削试验,研究钻削过程中刀具的作用机理。通过不同切削速度和进给速度对制孔入口表面缺陷和孔内壁表面粗糙度的影响,研究制孔过程中的缺陷损伤,并进行相关评... 目的减少芳纶纤维复合材料制孔的表面缺陷。方法通过对芳纶纤维复合材料进行钻削试验,研究钻削过程中刀具的作用机理。通过不同切削速度和进给速度对制孔入口表面缺陷和孔内壁表面粗糙度的影响,研究制孔过程中的缺陷损伤,并进行相关评定。通过改变装夹工艺方式,研究装夹工艺系统的刚度对制孔表面缺陷的影响。结果切削速度与进给速度对制孔表面缺陷的影响较大,切削速度和进给速度不断增大,孔径入口撕裂区域面积增大,孔径周围毛刺分布呈先减小、后增大的趋势。随着进给速度的增大,切削力总体呈减少趋势。试验表明,切削速度为62.83 m/min时,切削力最大,此时振动频率接近工艺系统的固有频率,出现共振现象。孔径内壁质量与切削速度成正比,与进给速度成反比。提高装夹工艺系统刚度,可以减少制孔入口的表面缺陷。对芳纶纤维复合材料板进行制孔试验,切削速度为87.96 m/min、进给速度为60 mm/min时,获得的表面质量最佳。结论在高转速、大进给情况下,加工表面缺陷较严重,应避免用此工艺参数对芳纶纤维复合材料板进行加工。工艺系统的装夹方式对制孔缺陷的影响较大,工艺系统的刚度越高,制孔质量越好。 展开更多
关键词 芳纶纤维复合材料 制孔表面缺陷 孔内壁粗糙度 装夹方式
下载PDF
增减材复合加工TC4高精度孔试验对比研究
6
作者 石文天 李季杭 +3 位作者 刘玉德 闫天明 林宇翔 王林 《表面技术》 EI CAS CSCD 北大核心 2022年第11期347-359,共13页
目的提升增材制造钛合金孔的表面精度和质量。方法在一定的切削速度下,通过改变进给速度,对比分析经选区激光熔化(Selective Laser Melting,SLM)成形的试样采用直接钻削和成形预制孔后再钻削的加工方式后,试样的表面质量、尺寸精度和切... 目的提升增材制造钛合金孔的表面精度和质量。方法在一定的切削速度下,通过改变进给速度,对比分析经选区激光熔化(Selective Laser Melting,SLM)成形的试样采用直接钻削和成形预制孔后再钻削的加工方式后,试样的表面质量、尺寸精度和切削力信号等的变化情况。结果SLM成形原始孔的实际尺寸普遍小于理论尺寸,主要原因是其存在塌陷区域和粉末黏附区。采用进给速度20 mm/min并配合SLM成孔后再加工的方式,得到的孔结构的加工质量表现相对最好,毛刺相对最少,尺寸误差最低达到了22μm,且轴向切削力整体最低,最大切削力下降了约29%,平均切削力下降了约61%。经增材制造成形再进行钻削加工后,其整体切削力显著低于对增材板件直接钻削的切削力,且前者切削力的波动相较于后者更大,并具有一定的周期性。经SLM成孔后,再采用钻削加工后,刀具更加耐破损,但是其耐磨损性较差,主要原因是刀具与残留在原始孔周围的金属粉末相互摩擦,加剧了刀具的磨损。SLM成孔后再钻削加工方式会产生离散的粒状和节状切屑,且其尺寸普遍较小,宽度为30μm左右,切屑可以被有效排出,减少了积热;在直接加工方式下主要为带状切屑,切屑连续且尺寸较大,宽度为300μm左右,这不利于切屑的排出,导致刀具排屑困难、积热严重。结论增减材复合加工TC4钛合金可以获得高精度孔,且其尺寸误差最低为22μm。 展开更多
关键词 增减材复合加工 钛合金 高精度孔 表面质量
下载PDF
TiN和TiAlN涂层刀具铣削铝锂合金表面质量试验对比研究 被引量:5
7
作者 李强强 石文天 +2 位作者 袁美霞 刘玉德 Bing Ren 《表面技术》 EI CAS CSCD 北大核心 2020年第12期319-329,共11页
目的比较TiN和TiAlN涂层刀具加工铝锂合金的切削性能和表面质量。方法使用硬质合金、TiN涂层和TiAlN涂层三种刀具,对2198-T8型铝锂合金进行干式铣削试验。改变切削因素的水平,比较刀具磨损、铝锂合金的表面粗糙度、切削力和切屑形态。... 目的比较TiN和TiAlN涂层刀具加工铝锂合金的切削性能和表面质量。方法使用硬质合金、TiN涂层和TiAlN涂层三种刀具,对2198-T8型铝锂合金进行干式铣削试验。改变切削因素的水平,比较刀具磨损、铝锂合金的表面粗糙度、切削力和切屑形态。结果铣削铝锂合金时,刀具主要磨损为粘附磨损,TiN涂层的粘附程度最低,硬质合金次之,TiAlN涂层表面粘附最严重,切削效能最低。粘附磨损严重影响铣削成形的表面粗糙度,并使铣削力增加。铣削速度是影响工件表面粗糙度的主要因素,通过提高铣削速度可明显降低材料的粘结程度,降低表面粗糙度与铣削力,TiN涂层在铣削铝锂合金时最小表面粗糙度可达到0.5μm以下。在相同的切削参数下,TiN涂层断屑均匀,切屑表面较为光滑,切屑塑性变形最小。硬质合金刀具产生的切屑尺寸较短,切屑表面有少量带状条纹,TiAlN涂层刀具产生的切屑发生了严重的塑性变形。结论与TiAlN涂层和硬质合金刀具相比,TiN涂层刀具在铣削铝锂合金时的切削效能最好,可以达到最好的表面粗糙度和加工效果。 展开更多
关键词 铝锂合金 TiN涂层刀具 表面粗糙度 铣削力 切屑形态
下载PDF
响应面优化超声波辅助碱法提取海带蛋白工艺 被引量:13
8
作者 袁莉婷 刘玉德 +1 位作者 石文天 郭甲 《中国食品添加剂》 CAS 北大核心 2021年第4期23-33,共11页
以干海带为原料,提取海带中蛋白质。通过对海带中氨基酸含量和种类的测定,选取适宜提取方式。以蛋白质的提取率为指标,进行单一碱法和超声波辅助碱法对比的单因素试验。通过分析原料粒度,料液比,提取时间,提取温度,超声功率,pH等因素对... 以干海带为原料,提取海带中蛋白质。通过对海带中氨基酸含量和种类的测定,选取适宜提取方式。以蛋白质的提取率为指标,进行单一碱法和超声波辅助碱法对比的单因素试验。通过分析原料粒度,料液比,提取时间,提取温度,超声功率,pH等因素对蛋白质提取率的影响,选择适宜的提取条件。利用响应面法对提取工艺进行优化,针对超声功率,提取时间,提取温度和pH对海带蛋白提取率的影响进行分析,并对海带中各蛋白质组分进行分析。结果表明:超声波辅助碱法提取海带蛋白的提取方式优于单一碱法提取,不仅可以有效缩短提取时间,还可以提高提取率。响应面法分析下最优提取条件为:原料粒度80目,料液比1∶55(m∶V),超声功率333W,提取时间2h,提取温度53℃,pH10.1。此条件下海带蛋白的提取率可达8.105%。分析发现海带中谷蛋白含量较高。 展开更多
关键词 海带 蛋白质 超声波 响应面法 提取率 工艺优化
下载PDF
鲜切马铃薯褐变抑制的研究 被引量:6
9
作者 李京赞 刘玉德 陶春生 《中国食品添加剂》 CAS 2019年第4期100-106,共7页
为了提高鲜切马铃薯的品质,本文通过单因素试验和正交试验分别研究了焦亚硫酸钠、柠檬酸、L-半胱氨酸、CaCl_2、异抗坏血酸钠、EDTA-2Na对马铃薯在鲜切过程中的酶促褐变影响。单因素试验结果表明:抑制马铃薯褐变的试剂的最佳浓度分别是... 为了提高鲜切马铃薯的品质,本文通过单因素试验和正交试验分别研究了焦亚硫酸钠、柠檬酸、L-半胱氨酸、CaCl_2、异抗坏血酸钠、EDTA-2Na对马铃薯在鲜切过程中的酶促褐变影响。单因素试验结果表明:抑制马铃薯褐变的试剂的最佳浓度分别是焦亚硫酸钠0.10%,柠檬酸0.20%,L-半胱氨酸0.10%,CaCl_20.10%,异抗坏血酸钠0.10%,EDTA-2Na0.10%;正交试验结果表明:当选取柠檬酸、L-半胱氨酸、CaCl_2、异抗坏血酸钠作为抑制剂和以鲜切马铃薯的最低褐变度为目标值时,经过试验并将其结果进行参数优化获得其最佳方案是:柠檬酸0.40%+L-半胱氨酸0.15%+异抗坏血酸钠0.30%+CaCl_2 0.40%。以此方案进行试验验证,测得样品的平均褐变度仅为0.071,表明该方案可有效抑制马铃薯的酶促褐变,保证鲜切马铃薯的新鲜品质。 展开更多
关键词 鲜切马铃薯 酶促褐变 抑制褐变 单因素试验 正交试验 新鲜品质
下载PDF
Classification of conditions for short-wall continuous mechanical mining in shallowly buried coal seam with thin bedrock 被引量:7
10
作者 liu yu-de ZHANG Dong-sheng +2 位作者 MA Li-qiang ZHAO Yong-feng WANG Hong-sheng 《Journal of China University of Mining and Technology》 EI 2008年第3期389-394,共6页
The room and pillar method is usually used to extract coal from shallowly buried seams with thin bedrock. This results in a very low production efficiency and in a low degree of extraction. In recent years short-wall ... The room and pillar method is usually used to extract coal from shallowly buried seams with thin bedrock. This results in a very low production efficiency and in a low degree of extraction. In recent years short-wall continuous mechanical mining has been extensively used in many situations except shallowly buried coal seams with thin bedrock. The principles governing movement of the overlying strata above the 2-2 coal seam were deduced from in-situ experience, laboratory data, calculations and computer simulations. The thicknesses of the bedrock in the Shendong Coal Field where the coal is shallowly buried are classified into 5 types: <10 m, 10–15 m, 15–25 m, 25–35 m and >35 m, which was done using fuzzy clustering results. A series of reasonable, relative parameters in each category have been calculated and analyzed. One proposed way to perform short-wall continuous mechanical mining in shallowly buried coal seams is given. This is significant for coal mines with similar geological conditions. 展开更多
关键词 短路 矿井 薄的岩床 技术参数
下载PDF
激光能量密度对选区激光熔化Ti-6Al-4V合金表面质量影响机理的实验研究 被引量:3
11
作者 石文天 李季杭 +3 位作者 刘玉德 刘帅 林宇翔 韩玉凡 《Journal of Central South University》 SCIE EI CAS CSCD 2022年第10期3447-3462,共16页
通过改变SLM成型工艺参数获得不同的激光能量密度(LED),研究了成形样品的表面形貌、表面质量和微观组织并分析了扫描速度、扫描线间距和激光功率对表面质量的影响,确定了表面质量的最佳LED范围。结果表明,在较低LED时,样品表面出现气孔... 通过改变SLM成型工艺参数获得不同的激光能量密度(LED),研究了成形样品的表面形貌、表面质量和微观组织并分析了扫描速度、扫描线间距和激光功率对表面质量的影响,确定了表面质量的最佳LED范围。结果表明,在较低LED时,样品表面出现气孔和球化现象,而样品侧面存在层状结构。在较高LED时,飞溅现象加剧且样品表面出现裂纹,同时大量未熔化的粉末粘附在样品的侧面。LED在150~170 J/mm^(3)范围内得到的表面质量最好。目前优选的扫描线间距为0.05~0.09 mm,激光功率为200~350 W,平均表面粗糙度值为(15.1±3)μm,平均表面硬度达到HV404±HV3,高于锻造标准范围HV340~HV395。在实验范围内增加LED可以增加表面硬度,但过高的LED不会进一步增加表面硬度。试样微观组织主要由长约20μm的针状α’相组成,呈N形。当LED较低时,β相晶界不明显,次生相体积分数较高;当LED较高时,微观组织的α’相呈粗板条状,次生相由少量二次α’相组成,三次α’相和四次α’相消失,次生相体积分数变低。 展开更多
关键词 激光能量密度 表面质量 选择性激光熔化 TI-6AL-4V 微观结构
下载PDF
Simulation Analysis of Coal Mining with Top-Coal Caving Under Hard-and-Thick Strata 被引量:2
12
作者 liu yu-de ZHANG Dong-sheng +2 位作者 WANG Hong-sheng MA Li-qiang LU Xiu-ming 《Journal of China University of Mining and Technology》 EI 2006年第2期110-114,共5页
The top-coal falling ability is a key factor to analyze for the application of coal mining with top-coal caving. Based on a hard-and-thick strata,which acts both as the floor of the upper coal seam and as the roof of ... The top-coal falling ability is a key factor to analyze for the application of coal mining with top-coal caving. Based on a hard-and-thick strata,which acts both as the floor of the upper coal seam and as the roof of the lower coal seam, nine mining projects were put forward to examine the mining of upper and lower coal seams, and a numerical simulation was used to study in detail the corresponding top-coal compressed volume of the lower coal seam. By the simulation effects of different layouts of coalface, the rational mining method was determined to be the staggered layout of coalface in the upper and the lower coal seam. This can ensure the successful use of fully-mechanized coalface with top–coal caving in the lower coal seam. 展开更多
关键词 煤矿开采 厚大煤层 放顶煤开采法 压缩量 模拟分析 崩落开采法
下载PDF
中药材无公害精细栽培体系研究 被引量:75
13
作者 陈士林 董林林 +10 位作者 郭巧生 魏建和 李西文 李刚 李琦 刘玉德 刘思京 徐江 余育启 吴杰 梁重恒 《中国中药杂志》 CAS CSCD 北大核心 2018年第8期1517-1528,共12页
针对中药材无序生产、不规范使用农药等问题,研究团队通过GIS信息技术指导药用植物精准选址,以现代组学方法辅助药用植物育种,以宏基因组学指导土壤复合改良,以合理施肥及病虫害综合防治为主的田间精细管理,建立了中药材无公害精细栽培... 针对中药材无序生产、不规范使用农药等问题,研究团队通过GIS信息技术指导药用植物精准选址,以现代组学方法辅助药用植物育种,以宏基因组学指导土壤复合改良,以合理施肥及病虫害综合防治为主的田间精细管理,建立了中药材无公害精细栽培体系。在全国多个贫困县指导开展了无公害中药材生产布局;通过解析中药基原物种基因组遗传背景,建立药用植物抗性品种选育平台,获得一批新品种和良种,新品种推广降低病虫害发生率;基于病虫害基因检测技术和无公害农药安全性评价技术,人参、三七、丹参、西洋参、五味子、桔梗等中药材的化学农药用量减少20%~80%。中药材无公害精细栽培体系的应用获得了显著的社会、经济及生态效益。 展开更多
关键词 无公害中药材 精细栽培 选址 育种 土壤复合改良 综合防治
原文传递
淫羊藿总黄酮对雄性自发性高血压病大鼠血压、性功能的作用及机制研究 被引量:1
14
作者 岑延祐 刘煜德 +5 位作者 张洪冠 饶炼 程楷丽 李家锐 吴伟 李荣 《中国中西医结合杂志》 CAS CSCD 北大核心 2022年第6期716-721,共6页
目的观察淫羊藿总黄酮对自发性高血压病大鼠(SHR)血压、性功能的作用,并探讨其作用机制。方法16只雄性SHR按随机数字表法分为2组:中药组(淫羊藿总黄酮617 mg/kg)、模型组(生理盐水),每组8只;另取8只雄性WKY大鼠作为正常组(生理盐水);各... 目的观察淫羊藿总黄酮对自发性高血压病大鼠(SHR)血压、性功能的作用,并探讨其作用机制。方法16只雄性SHR按随机数字表法分为2组:中药组(淫羊藿总黄酮617 mg/kg)、模型组(生理盐水),每组8只;另取8只雄性WKY大鼠作为正常组(生理盐水);各组均灌胃4周。干预前后测定各组大鼠尾动脉压及动情期雌鼠捕捉频数;ELISA法测定大鼠血清睾酮浓度;Western Blot、免疫组化法检测各大鼠阴茎海绵体内皮型一氧化氮合酶(eNOS)、5型磷酸二酯酶(PDE5)、Rho激酶(ROCK1/ROCK2)表达。结果与正常组比较,模型组干预前、后收缩压和舒张压升高,干预后动情期雌鼠捕捉频数、血清睾酮值及e NOS表达下降,PDE5表达升高(P<0.05,P<0.01)。与模型组比较,中药组干预后收缩压、舒张压及PDE5、ROCK1/ROCK2表达下降(P<0.05),动情期雌鼠捕捉频数、血清睾酮值及阴茎海绵体中的e NOS表达增加(P<0.05,P<0.01)。结论淫羊藿总黄酮可降低SHR血压并提高性功能,机制可能与提高血清睾酮水平和e NOS表达,降低阴茎海绵体内PDE5、ROCK1/ROCK2表达有关。 展开更多
关键词 淫羊藿总黄酮 自发性高血压大鼠 血清睾酮 内皮型一氧化氮合酶 5型磷酸二酯酶 RHO激酶
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部