期刊文献+
共找到33篇文章
< 1 2 >
每页显示 20 50 100
Osthole inhibits proliferation of human breast cancer cells by inducing cell cycle arrest and apoptosis 被引量:12
1
作者 lintao Wang Yanyan Peng +4 位作者 Kaikai Shi Haixiao Wang Jianlei Lu yanli li Changyan Ma 《The Journal of Biomedical Research》 CAS CSCD 2015年第2期132-138,共7页
Recent studies have revealed that osthole,an active constituent isolated from the fruit of Cnidium monnieri(L.) Cusson,a traditional Chinese medicine,possesses anticancer activity.However,its effect on breast cancer... Recent studies have revealed that osthole,an active constituent isolated from the fruit of Cnidium monnieri(L.) Cusson,a traditional Chinese medicine,possesses anticancer activity.However,its effect on breast cancer cells so far has not been elucidated clearly.In the present study,we evaluated the effects of osthole on the proliferation,cell cycle and apoptosis of human breast cancer cells MDA-MB 435.We demonstrated that osthole is effective in inhibiting the proliferation of MDA-MB 435 cells,The mitochondrion-mediated apoptotic pathway was involved in apoptosis induced by osthole,as indicated by activation of caspase-9 and caspase-3 followed by PARP degradation.The mechanism underlying its effect on the induction of G1 phase arrest was due to the up-regulation of p53 and p21 and down-regulation of Cdk2 and cyclin D1 expression.Were observed taken together,these findings suggest that the anticancer efficacy of osthole is mediated via induction of cell cycle arrest and apoptosis in human breast cancer cells and osthole may be a potential chemotherapeutic agent against human breast cancer. 展开更多
关键词 OSTHOLE breast cancer PROLIFERATION cell cycle APOPTOSIS
下载PDF
Large-area straight,regular periodic surface structures produced on fused silica by the interference of two femtosecond laser beams through cylindrical lens 被引量:8
2
作者 Long Chen Kaiqiang Cao +5 位作者 yanli li Jukun liu Shian Zhang Donghai Feng Zhenrong Sun Tianqing Jia 《Opto-Electronic Advances》 SCIE EI 2021年第12期34-42,共9页
Inhomogeneity and low efficiency are two important factors that limit the application of laser-induced periodic surface structures(LIPSSs),especially on glass surfaces.In this study,two-beam interference(TBI)of femtos... Inhomogeneity and low efficiency are two important factors that limit the application of laser-induced periodic surface structures(LIPSSs),especially on glass surfaces.In this study,two-beam interference(TBI)of femtosecond lasers was used to produce large-area straight LIPSSs on fused silica using cylindrical lenses.Compared with those produced us-ing a single circular or cylindrical lens,the LIPSSs produced by TBI are much straighter and more regular.Depending on the laser fluence and scanning velocity,LIPSSs with grating-like or spaced LIPSSs are produced on the fused silica sur-face.Their structural colors are blue,green,and red,and only green and red,respectively.Grating-like LIPSS patterns oriented in different directions are obtained and exhibit bright and vivid colors,indicating potential applications in surface coloring and anti-counterfeiting logos. 展开更多
关键词 laser-induced periodic surface structures two-beam interference structural coloring fused silica cylindrical lens
下载PDF
Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography
3
作者 Yushu Yang yanli li +2 位作者 Qiang Wu Jianjun Zhu Shoumian Chen 《Journal of Microelectronic Manufacturing》 2020年第1期17-22,共6页
5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and... 5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and the minimum metal pitch(MPP)is around 30~36 nm.Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography,it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers.Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit.Therefore,the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets.In the paper,we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow,including dummy poly cut versus metal gate cut approaches in the metal gate loops,self-aligned contact(SAC)versus brutally aligned contact(BAC)approaches,and also introduced the self-aligned double patterning approach in the lower metal processes.Based on the above evaluation,we will provide a recommendation for module's process development. 展开更多
关键词 5nm LOGIC Process EUV metal gate cut SAC BAC SELF-ALIGNED LELE
下载PDF
A Photolithography Process Design for 5 nm Logic Process Flow
4
作者 Qiang Wu yanli li +1 位作者 Yushu Yang Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2019年第4期45-55,共11页
With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 n... With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 nm,the minimum metal pitch(MPP)is around 30-32 nm.And the overlay budget is estimated to be 2.5 nm(on product overlay).Although the optical resolution of a 0.33NA exposure tool(such as ASML NXE3400)can reach below 32 nm pitch,stochastics in the EUV absorption in photoresists has limited its application to smaller pitches.For the CPP mentioned above,one can use 193 nm immersion lithography with Self-Aligned Double Patterning(SADP)technique to provide good image contrast(or CDU,LWR)as well as good overlay,as for the 10 and 7 nm generations.In the BEOL,however,the 30-32 pitch cannot be realized by a single EUV exposure with enough printing defect process window.If this pitch is to be done by 193 nm immersion lithography,more than 6-8 exposures are needed with very complicated overlay result.For EUV,this can be done through self-aligned LELE with both good CD and overlay control.We have done an optimization of the photolithographic process parameters for the typical metal with a self-developed aerial image simulator based on rigorous coupled wave analysis(RCWA)algorithm and the Abbe imaging routine with an EUV absorption model which describes stochastics.We have calibrated our model with wafer exposure data from several photoresists under collaboration with IMEC.As we have presented last year,to accommodate all pitches under a logic design rule,as well as to provide enough CDU for the logic device performance,in DUV lithography,a typical minimum exposure latitude(EL)for the poly and metal layers can be set at,respectively,18%and 13%.In EUV,due to the existence of stochastics,13%EL,which corresponds to an imaging contrast of 40%,seems not enough for the metal trenches,and to obtain an imaging contrast close to 100%,which yields an EL of 31.4%means that we need to relax minimum pitch to above 41 nm(conventional imaging limit for 0.33NA).This is the best imaging contrast a photolithographic process can provide to reduce LWR and stochastics.In EUV,due to the significantly smaller numerical apertures compared to DUV,the aberration impact can cause much more pronounced image registration error,in order to satisfy 2.5 nm total overlay,the aberration induced shift needs to be kept under 0.2 nm.We have also studied shadowing effect and mask 3D scattering effect and our results will be provided for discussion. 展开更多
关键词 5 nm Logic Process EUV SADP self-aligned LELE RCWA stochastics mask 3D scattering
下载PDF
Breeding dominant genic male sterility restorer line of Brassica napus L.
5
作者 Junying Zhang Jianxia Jiang +6 位作者 liyong Yang Meiyan Jiang yanli li Weirong Wang Chaocai Sun Jifeng Zhu Xirong Zhou 《Oil Crop Science》 2020年第2期90-95,共6页
To facilate breeding process of Brassica napus,a microspore culture and molecular marker-assisted screening combined system were proposed in this research.At early flowering stage,F1 offspring of hybridized combinatio... To facilate breeding process of Brassica napus,a microspore culture and molecular marker-assisted screening combined system were proposed in this research.At early flowering stage,F1 offspring of hybridized combination HY15 A×HF06 was used as donor for microspore culture to analyze effects of colchicine concentration on embryogenic and diploid rates of microspore.Treatment with 50 mg/L colchicine resulted in embryogenic rate of3.56 embryos/bud,which was substantially higher than control(0.78 embryos/bud).A total of 1,387 embryos and 862 single plants were obtained after induction culture.Ploidy detection was performed for the regenerated plants by flow cytometry.Diploid rates of microspores treated with 50 mg/L and 70 mg/L colchicine were 17.2%and 21.0%respectively,which was significantly higher than control(10.5%).Totally 108 single plants that doubled successfully were randomly selected and screened using molecular marker BE10.Approximately 54 of108 plants generated a 305 bp amplification product,whereas the other 54 plants showed a 398 bp band,thereby satisfying 1:1 separation ratio(x0.052=0.0093).These coincided with field identification results.Findings of this study indicated that homozygous breeding material could be obtained by microspore culture in a short time,thereby remarkably accelerate breeding. 展开更多
关键词 Brassica napus L. MICROSPORES Double haploid Restorer line COLCHICINE
下载PDF
A Simulation Study for Typical Design Rule Patterns in 5 nm Logic Process with EUV Photolithographic Process
6
作者 yanli li Qiang Wu Shoumian Chen 《Journal of Microelectronic Manufacturing》 2019年第4期33-39,共7页
5 nm logic technology node is believed to be the first node that will adopt Extremely Ultra-Violet(EUV)lithography on a large scale.We have done a simulation study for typical 5 nm logic design rule patterns.In a 5 nm... 5 nm logic technology node is believed to be the first node that will adopt Extremely Ultra-Violet(EUV)lithography on a large scale.We have done a simulation study for typical 5 nm logic design rule patterns.In a 5 nm logic photo process,the most appropriate layers for the EUV lithography are the cut layers,metal layers,and via layers.Generally speaking,critical structures in a lithography process are semi-dense patterns,also known as the“forbidden pitch”patterns,the array edge structures,tip-to-tip structures,tip-to-line structures(under 2D design rules),the minimum area structures,the bi-lines,tri-lines,…,etc.Compared to that from the 193 nm immersion process,the behaviors for the above structures are different.For example,in the 193 nm immersion process,the minimum area is about 2~3 times that of minimum pixel squared,while in EUV photolithographic process,the minimum achievable area is found to be significantly larger.In the simulation,we have kept aware of the stochastics impact due to drastically reduced number of photons absorbed compared to the DUV process,the criteria used for various structures of image contrast are tightened.For example,in 193 nm immersion lithography,we have usually set the minimum Exposure Latitude(EL)for the poly layer,the metal layer,and tip-to-tip pattern,respectively,at 18%,13%,and 10%.However,in EUV lithography,reasonable targets for the minima are,respectively,>18%,18%,and 13%.We have also studied the aberration and shadowing impact to the above design rule structures.We will present the results of our work and our explanations. 展开更多
关键词 5nm design rule minimum area minimum exposure latitude ABERRATION shadowing effect
下载PDF
Explore the intrinsic link between the ideological and political education and the mental health education
7
作者 yanli li Yuwang Peng 《International Journal of Technology Management》 2013年第11期44-46,共3页
关键词 思想政治教育 心理健康教育 大学生 科学性
下载PDF
MEF2D在肺腺癌中的表达及与预后的相关性分析
8
作者 叶广彬 张忠伟 +3 位作者 李艳丽 高丽 黄伟 凌博 《中国肺癌杂志》 CAS CSCD 北大核心 2023年第7期538-544,共7页
背景与目的肌细胞增强因子2D(myocyte enhancer factor 2D,MEF2D)可以通过调控癌基因的转录参与肿瘤病变的进程。前期研究证实,MEF2D通过促进NUSAP1的转录,提高肺腺癌细胞A549和H1299的增殖和转移能力。本研究旨在探讨肺腺癌组织中MEF2... 背景与目的肌细胞增强因子2D(myocyte enhancer factor 2D,MEF2D)可以通过调控癌基因的转录参与肿瘤病变的进程。前期研究证实,MEF2D通过促进NUSAP1的转录,提高肺腺癌细胞A549和H1299的增殖和转移能力。本研究旨在探讨肺腺癌组织中MEF2D的表达水平及其临床意义。方法收集肺腺癌患者199例,采用免疫组化染色检测癌组织和癌旁组织中MEF2D的表达水平;整理患者病例资料和随访资料,研究MEF2D表达水平、临床指标和预后三者的相关性。结果肺腺癌患者中,癌组织MEF2D高表达率明显高于癌旁组织(P<0.05)。免疫组化结果分析发现,肺腺癌患者的癌组织中MEF2D表达水平与肿瘤分化程度、N分期、M分期和肺内转移具有相关性(P<0.05)。Kaplan-Meier分析证实,MEF2D低表达肺腺癌患者的预后优于高表达者(P<0.05)。Cox多因素分析表明,MEF2D表达水平、M分期、N分期和骨转移是影响肺腺癌患者预后的独立危险因素。结论MEF2D表达水平与肺腺癌的转移等临床特征关系密切,可作为患者预后的独立危险因素,可能是肺腺癌诊治的新靶点。 展开更多
关键词 肺肿瘤 MEF2D 预后 临床特征
下载PDF
All-fiber-based ultrastable laser with long-term frequency stability of 1.1×10^(-14) 被引量:1
9
作者 黄亚峰 胡笛 +8 位作者 叶美凤 王亚婷 李彦黎 李明 陈胤男 屈求智 汪凌珂 刘亮 李唐 《Chinese Optics Letters》 SCIE EI CAS CSCD 2023年第3期84-89,共6页
We demonstrate an ultrastable miniaturized transportable laser system at 1550 nm by locking it to an optical fiber delay line[FDL].To achieve optimized long-term frequency stability,the FDL was placed into a vacuum ch... We demonstrate an ultrastable miniaturized transportable laser system at 1550 nm by locking it to an optical fiber delay line[FDL].To achieve optimized long-term frequency stability,the FDL was placed into a vacuum chamber with a five-layer thermal shield,and a delicate two-stage active temperature stabilization,an optical power stabilization,and an RF power stabilization were applied in the system.A fractional frequency stability of better than 3.2×10^[-15]at 1 s averaging time and1.1×10^[-14]at 1000 s averaging time was achieved,which is the best long-term frequency stability of an all-fiber-based ultrastable laser observed to date. 展开更多
关键词 fiber delay line frequency stability ultrastable laser
原文传递
Electric field manipulation of multiple nonequivalent Dirac cones in the electronic structures of hexagonal CrB_4 sheet
10
作者 王锦坤 柯亚娇 +2 位作者 谢晴兴 李艳丽 王嘉赋 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第9期518-523,共6页
Two-dimensional materials with Dirac cones have significant applications in photoelectric technology. The origin and manipulation of multiple Dirac cones need to be better understood. By first-principle calculations, ... Two-dimensional materials with Dirac cones have significant applications in photoelectric technology. The origin and manipulation of multiple Dirac cones need to be better understood. By first-principle calculations, we study the influence of external fields on the electronic structure of the hexagonal CrB4 sheet with double nonequivalent Dirac cones. Our results show that the two cones are not sensitive to tensile strain and out-of-plane electric field, but present obviously different behaviors under the in-plane external electric field(along the B-B direction), i.e., one cone holds while the other vanishes with a gap opening. More interestingly, a new nonequivalent cone emerges under a proper in-plane electric field. We also discuss the origin of the cones in CrB4 sheet. Our study provides a new method on how to obtain Dirac cones by the external field manipulation, which may motivate potential applications in nanoelectronics. 展开更多
关键词 borophene multiple Dirac cones electric field manipulation first-principle calculation
下载PDF
Characteristics of Central Heating Cinder and Its Influence on Soil Properties
11
作者 Guoyu GAN Chao li +5 位作者 Xu ZHANG li YANG Yunfeng CHEN Bo liU yanli li Jifu li 《Meteorological and Environmental Research》 CAS 2022年第2期78-82,97,共6页
[Objective]The research was designed to explore the effect of long-term stacking of solid waste produced in the coal-burning process of central heating enterprises on physical and chemical properties of soil.[Method]T... [Objective]The research was designed to explore the effect of long-term stacking of solid waste produced in the coal-burning process of central heating enterprises on physical and chemical properties of soil.[Method]This study took the heating enterprises in Shenyang City as the research object.The morphological structure and element composition of coal cinder were determined by continuously collecting coal and cinder samples in different periods.At the same time,the original soil and cinder soil of the stacking site were collected to determine the changes of soil morphological structure,element composition and physical and chemical properties,so as to provide reference for the resource utilization of local cinder waste and the potential pollution risk of the stacking site.[Result]The contents of C,H,O,N,and S non-metallic elements in coal cinder decreased by 69.5%,71.2%,76.0%,74.5%,and 34.6%,respectively when compared with raw coal;while the content of Si increased significantly by 95.7%.The contents of Al,K,and Fe in cinder decreased by 4.3%,60.2%,and 33.3%,respectively,while the contents of Mg and Na increased by 36.1%and 130.9%,respectively.Compared with the original soil,the contents of C,H,and O in shallow cinder soil and deep cinder soil increased by 126.5%,67.9%,80.93%,and 21.3%,25.0%and 42.3%,respectively.The residual carbon existed in the form of activated carbon.The contents of Mg,Al,K,Na,Ca,and Fe in shallow cinder soil and deep cinder soil increased by 61.6%,5.4%,46.1%,35.8%,32.5%,6.3%and 22.3%,12.3%,12.2%,15.6%,5.8%and 2.8%,respectively compared with the original soil.The content of heavy metal elements in coal cinder did not reach the detection limit.Under the scanning electron microscope,the raw coal is mainly block structure,while the cinder is honeycombed and porous and dust.[Conclusion]Cinder stacking can significantly improve the content of organic matter and available K in shallow cinder soil,and improve the porosity and permeability of soil.In addition,cinder waste has high pH and pore structure,which can be used as acid soil conditioner,seedling flower matrix and compound fertilizer filler to take full advantage of cinder waste,improve soil structure and supply nutrients. 展开更多
关键词 Central heating Coal cinder Soil properties Element composition Morphology and structure
下载PDF
Analysis on Technical Measures on Energy Saving and Consumption Reducing During Stevia Sugar Production
12
作者 yanli li Junhe li +2 位作者 Wenjie HAN Xinying YUAN Yunwu JI 《Agricultural Biotechnology》 CAS 2018年第3期191-194,共4页
Based on the analysis of actual energy consumptions during stevia sugar production,practical measures were proposed to save energy( gas,water and powder) consumption and reduce cost through waste heat recycle and ut... Based on the analysis of actual energy consumptions during stevia sugar production,practical measures were proposed to save energy( gas,water and powder) consumption and reduce cost through waste heat recycle and utilization,process improvement,equipment operation efficiency,application of newly energysaving equipment,and some project applications were provided as case study for some techniques and methods adopted in the production. 展开更多
关键词 Stevia sugar Saving energy and reducing consumption Waste heat recycle Process improvement
下载PDF
Emissions Trading Mode in America and China and Its Future
13
作者 lijun li yanli li Zhihui Song 《Chinese Business Review》 2004年第10期56-61,共6页
下载PDF
Impact of inhaled corticosteroid use on elderly chronic pulmonary disease patients with community acquired pneumonia
14
作者 Xiudi Han Hong Wang +22 位作者 liang Chen Yimin Wang Hui li Fei Zhou Xiqian Xing Chunxiao Zhang lijun Suo Jinxiang Wang Guohua Yu Guangqiang Wang Xuexin Yao Hongxia Yu Lei Wang Meng liu Chunxue Xue Bo liu Xiaoli Zhu yanli li Ying Xiao Xiaojing Cui lijuan li Xuedong liu Bin Cao 《Chinese Medical Journal》 SCIE CAS CSCD 2024年第2期241-243,共3页
To the Editor:With an aging global population,the incidences of community-acquired pneumonia(CAP)and chronic obstructive pulmonary disease(COPD)have signicantly increased.[1]Previous studies have conrmed that COPD and... To the Editor:With an aging global population,the incidences of community-acquired pneumonia(CAP)and chronic obstructive pulmonary disease(COPD)have signicantly increased.[1]Previous studies have conrmed that COPD and asthma are independently associated with the prevalence of CAP.The use of inhaled corticosteroid(ICS),the cornerstone of treatment for asthma,COPD with frequent acute exacerbations,and asthma-COPD overlap(ACO)may induce changes in the local lung microbiome and abnormal lung immunity,ultimately,causing a signicantly increased risk of pneumonia.However,in cases of pneumonia,the effect of the use of ICS on CAP mortality remains controversial.While data from one study favored the prior use of ICS,which was associated with a signicantly lower short-term mortality rate,[2]other studies have identied no impact on mortality.To date,data on the impact of the use of ICS on mortality,prehospitalization or during hospitalization,are scarce,particularly in the older population.Therefore,this multicenter,retrospective study explored the association between the use of ICS during hospitalization and short-term mortality in older patients with CAP and those with chronic pulmonary disease(CPD). 展开更多
关键词 PNEUMONIA PATIENTS IMMUNITY
原文传递
Variability of soil organic carbon reservation capability between coastal salt marsh and riverside freshwater wetland in Chongming Dongtan and its microbial mechanism 被引量:7
15
作者 Yu Hu yanli li +5 位作者 Lei Wang Yushu Tang Jinhai Chen Xiaohua Fu Yiquan Le Jihua Wu 《Journal of Environmental Sciences》 SCIE EI CAS CSCD 2012年第6期1053-1063,共11页
Two representative zones in Chongming Dongtan which faced the Yangtze River and East China Sea respectively were selected to study the variability of soil organic carbon (SOC) reservation capability between coastal ... Two representative zones in Chongming Dongtan which faced the Yangtze River and East China Sea respectively were selected to study the variability of soil organic carbon (SOC) reservation capability between coastal wetland and riverside wetland in the Chongming Dongtan wetland as well as its mechanism by analyzing soil characteristics and plant biomass.The results showed the SOC content of riverside wetland was only 48.61% (P=0.000 〈 0.05) that of coastal wetland.As the organic matter inputs from plant litter of the coastal wetland and riverside wetland were approximately the same,the higher soil microbial respiration (SMR) of riverside wetland led to its lower SOC reservation capability.In the riverside wetland,the high soil microbial biomass,higher proportion of β-Proteobacteria,which have strong carbon metabolism activity and the existence of some specific aerobic heterotrophic bacteria such as Bacilli and uncultured Lactococcus,were the important reasons for the higher SMR compared to the coastal wetland.There were additional differences in soil physical and chemical characteristics between the coastal wetland and riverside wetlands.Path analysis of predominant bacteria and microbial biomass showed that soil salinity influenced β-Proteobacteria and microbial biomass most negatively among these physical and chemical factors.Therefore the low salinity of the riverside area was suitable for the growth of microorganisms,especially β-Proteobacteria and some specific bacteria,which led to the high SMR and low SOC reservation capability when compared to the coastal area. 展开更多
关键词 soil organic carbon carbon reservation capability community diversity coastal wetland riverside wetland ChongmingDongtan
原文传递
Molecular Cytogenetic Characterization of a Wheat-Leymus mollis 3D(3Ns) Substitution Line with Resistance to Leaf Rust 被引量:6
16
作者 Yuhui Pang Xinhong Chen +7 位作者 Jixin Zhao Wanli Du Xueni Cheng Jun Wu yanli li liangming Wang Jing Wang Qunhui Yang 《Journal of Genetics and Genomics》 SCIE CAS CSCD 2014年第4期205-214,共10页
Leymus mollis (Trin.) Pilger (NsNsXmXm, 2n = 28), a wild relative of common wheat, possesses many potentially valuable traits that could be transferred to common wheat during breeding programs. In this study, the ... Leymus mollis (Trin.) Pilger (NsNsXmXm, 2n = 28), a wild relative of common wheat, possesses many potentially valuable traits that could be transferred to common wheat during breeding programs. In this study, the karyotypic constitution of a wheat - L. mollis 3D(3Ns#1) disomic substitution line isolated from the F5 progeny of octoploid Tritileymus M842-16 x Triticum durum cv. D4286, which was designated as 10DM57, was determined using genomic in situ hybridization (GISH), fluorescent in situ hybridization (FISH), SSR markers, and EST- STS markers. Screening of mitosis and meiosis showed that 10DM57 had a chromosome karyotype of 2n = 42 =21Ⅱ. GISH indicated that 10DM57 was a line with 40 chromosomes from wheat and two of the Ns chromosomes from L. mollis, which formed a ring bivalent in pollen mother cells at metaphase I. FISH analysis showed that the chromosome 3D may be replaced by 3Ns#1 in 10DM57. DNA markers, including SSR and EST-STS primers, showed that the pair of wheat chromosome 3D in 10DM57 was substituted by the pair of chromosome 3Ns#t from L. mollis. Evaluation of the agronomic traits showed that, compared with its common wheat relative 7182, 10DM57 was resistant to leaf rust while the spike length and number of spikes per plant were improved significantly, which correlated with a higher wheat yield. The new germplasm, 10DM57, could be exploited as an intermediate material in wheat genetic and breeding programs. 展开更多
关键词 Alien substitution line EST-STS FISH GISH Leymus mollis SSR WHEAT
原文传递
East Asian paleoclimate change in the Weihe Basin (central China) since the middle Eocene revealed by clay mineral analysis 被引量:4
17
作者 Hengzhi LYU Huayu LU +7 位作者 Yichao WANG Hanzhi ZHANG Yao WANG Kexin WANG Wen LAI Zhifei liU yanli li Junfeng JI 《Science China Earth Sciences》 SCIE EI CSCD 2021年第8期1285-1304,共20页
Obtaining a continuous sedimentary record of Cenozoic East Asian paleoclimate change is key to understand the origin,evolution and driving mechanism of the East Asian monsoon and climate change.Based on the continuous... Obtaining a continuous sedimentary record of Cenozoic East Asian paleoclimate change is key to understand the origin,evolution and driving mechanism of the East Asian monsoon and climate change.Based on the continuous Cenozoic fluvial and lacustrine sedimentary sequence in the Weihe Basin,central China,we carried out research on the content and crystal parameters of clay minerals.The paleoclimate change since the middle Eocene was reconstructed accordingly.The results show that smectite and illite are the dominant clay minerals in fluvial-lacustrine sediments of Weihe Basin.The crystallinity of illite,the chemical index of illite,the ratio of smectite to illite and chlorite decrease gradually,which indicates that chemical weathering in the Weihe River watershed stepwise weakened since the middle Eocene,under the background of a semi-arid and semi-humid climate.The formation of palygorskite may be affected by a weak diagenesis.In this case,the content of smectite and the illite crystallinity caused by the weathering in the corresponding period may be actually higher than that of the current,which indicates that the climate in the Weihe Basin region was relatively warm and humid from the middle Eocene to Pliocene.With the decrease of Cenozoic global temperature and the continuous accumulation of sediments,the intensity of chemical weathering in the Weihe Basin gradually weakened,and the East Asian monsoon climate gradually evolved until becoming dry in the Quaternary.Evidence from clay minerals also indicates that the aridification in the Weihe Basin from the late Eocene to the Oligocene may be a response to the global cooling event at the Eocene-Oligocene transition. 展开更多
关键词 Cenozoic epoch Weihe Basin Clay mineral PALEOCLIMATE East Asian moonsoon
原文传递
Visualized and cascade-enhanced gene silencing by smart DNAzyme-graphene nanocomplex 被引量:1
18
作者 lingjie Ren Xiaoxia Chen +8 位作者 Chang Feng Lei Ding Xiaomin liu Tianshu Chen Fan Zhang yanli li Zhongliang Ma Bo Tian Xiaoli Zhu 《Nano Research》 SCIE EI CAS CSCD 2020年第8期2165-2174,共10页
BCL-2 gene as well as its products is recognized as a promising target for the molecular targeted therapy of tumors.However,due to certain defense measures of tumor cells,the therapeutic effect based on the gene silen... BCL-2 gene as well as its products is recognized as a promising target for the molecular targeted therapy of tumors.However,due to certain defense measures of tumor cells,the therapeutic effect based on the gene silencing of BCL-2 is greatly reduced.Here we fabricate a smart response nucleic acid therapeutic that could silence the gene effectively through a dual-targeted and cascade-enhanced strategy.In brief,nano-graphene oxide(GO),working as a nano-carrier,is loaded with a well-designed DNAzyme,which can target and silence the BCL-2 mRNA.Furthermore,upon binding with the BCL-2 mRNA,the enzymatic activity of the DNAzyme can be initiated,cutting a substrate oligonucleotide to produce an anti-nucleolin aptamer AS1411.Nucleolin,a nucleolar phosphoprotein,is known as a stabilizer of BCL-2 mRNA.Via binding and inactivating the nucleolin,AS1411 can destabilize BCL-2 mRNA.By this means of simultaneously targeting mRNA and its stabilizer in an integrated system,effective silencing of the BCL-2 gene of tumor cells is achieved at both the cellular and in vivo levels.After being dosed with this nucleic acid therapeutic and without any chemotherapeutics,apoptosis of tumor cells at the cellular level and apparent shrinkage of tumors in vivo are observed.By labeling a molecular beacon on the substrate of DNAzyme,visualization of the enzymatic activity as well as the tumor in vivo can be also achieved.Our work presents a pure bio-therapeutic strategy that has positive implications for enhancing tumor treatment and avoiding side effects of chemotherapeutics. 展开更多
关键词 BCL-2 gene gene silencing DNAZYME graphene oxide AS1411
原文传递
ADAP restraint of STAT1 signaling regulates macrophage phagocytosis in immune thrombocytopenia
19
作者 Yiwei Xiong yanli li +3 位作者 Xinxing Cui lifeng Zhang Xiaodong Yang Hebin liu 《Cellular & Molecular Immunology》 SCIE CAS CSCD 2022年第8期898-912,共15页
Heightened platelet phagocytosis by macrophages accompanied by an increase in IFN-γplay key roles in the etiology of immune thrombocytopenia(ITP);however,it remains elusive how macrophage-mediated platelet clearance ... Heightened platelet phagocytosis by macrophages accompanied by an increase in IFN-γplay key roles in the etiology of immune thrombocytopenia(ITP);however,it remains elusive how macrophage-mediated platelet clearance is regulated in ITP.Here,we report that adhesion and degranulation-protein adaptor protein(ADAP)restrains platelet phagocytosis by macrophages in ITP via modulation of signal transducer and activator of transcription 1(STAT1)-FcγR signaling.We show that ITP was associated with the underexpression of ADAP in splenic macrophages.Furthermore,macrophages from Adap^(−/−)mice exhibited elevated platelet phagocytosis and upregulated proinflammatory signaling,and thrombocytopenia in Adap^(−/−)mice was mitigated by the depletion of macrophages.Mechanistically,ADAP interacted and competed with STAT1 binding to importinα5.ADAP deficiency potentiated STAT1 nuclear entry,leading to a selective enhancement of FcγRI/IV transcription in macrophages.Moreover,pharmacological inhibition of STAT1 or disruption of the STAT1-importinα5 interaction relieved thrombocytopenia in Adap^(−/−)mice.Thus,our findings not only reveal a critical role for ADAP as an intracellular immune checkpoint for shaping macrophage phagocytosis in ITP but also identify the ADAP-STAT1-importinα5 module as a promising therapeutic target in the treatment of ITP. 展开更多
关键词 ADAP Immune thrombocytopenia Fc gamma receptor Platelet phagocytosis STAT1
原文传递
Deletion of Gab2 in mice protects against hepatic steatosis and steatohepatitis:a novel therapeutic target for fatty liver disease
20
作者 Shuai Chen Yujia Kang +11 位作者 Yan Sun Yanhong Zhong yanli li lijuan Deng Jin Tao Yang li Yingpu Tian Yinan Zhao Jianghong Cheng Wenjie liu Gen-Sheng Feng Zhongxian Lu 《Journal of Molecular Cell Biology》 SCIE CAS CSCD 2016年第6期492-504,共13页
Fatty liver disease is a serious health problem worldwide and is the most common cause for chronic liver disease and metabolic disorders.The major challenge in the prevention and intervention of this disease is the in... Fatty liver disease is a serious health problem worldwide and is the most common cause for chronic liver disease and metabolic disorders.The major challenge in the prevention and intervention of this disease is the incomplete understanding of the underlying mechanism and thus lack of potent therapeutic targets due to multifaceted and interdependent disease factors.In this study,we investigated the role of a signaling adaptor protein,GRB2-associated-binding protein 2(Gab2),in fatty liver using an animal disease model.Gab2 expression in hepatocytes responded to various disease factor stimulations,and Gab2 knockout mice exhibited resistance to fat-induced obesity,fat-or alcohol-stimulated hepatic steatosis,as well as methionine and choline deficiency-induced steatohepatitis.Concordantly,the forced expression or knockdown of Gab2 enhanced or diminished oleic acid(OA)-or ethanol-induced lipid production in hepatocytes in vitro,respectively.During lipid accumulation in hepatocytes,both fat and alcohol induced the recruitment of PI3K or Socs3 by Gab2 and the activation of their downstream signaling proteins AKT,ERK,and Stat3.Therefore,Gab2 may be a disease-associated protein that is induced by pathogenic factors to amplify and coordinate multifactor-induced signals to govern disease development in the liver.Our research provides a novel potential target for the prevention and intervention of fatty liver disease. 展开更多
关键词 alcoholic fatty liver disease non-alcoholic fatty liver disease knockout mouse disease-associated protein therapeutic target
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部