期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
A Novel Probabilistic Saturating Counter Design for Secure Branch Predictor
1
作者 Lu-Tan Zhao Rui Hou +3 位作者 Kai Wang yu-lan su Pei-Nan Li Dan Meng 《Journal of Computer Science & Technology》 SCIE EI CSCD 2021年第5期1022-1036,共15页
In a modern processor,branch prediction is crucial in effectively exploiting the instruction-level parallelism for high-performance execution.However,recently exposed vulnerabilities reveal the urgency to improve the ... In a modern processor,branch prediction is crucial in effectively exploiting the instruction-level parallelism for high-performance execution.However,recently exposed vulnerabilities reveal the urgency to improve the security of branch predictors.The vital cause of the branch predictor vulnerabilities is that the update strategy of the saturating counter is deterministic.As a fundamental building block in a modern branch predictor,previous studies have paid too much attention to the performance and hardware cost and ignored the security of saturating counter.This leaves attackers with the opportunities to perform side-channel attacks on the branch predictor.This paper focuses on the saturating counter to explore a secure and lightweight design to mitigate branch predictor side-channel attacks.Instead of applying the isolation mechanism to branch predictor resources,we propose a novel probabilistic saturating counter design to confuse the attacker's perception of the victim's behaviour.It changes the conventional deterministic state transition function to a probabilistic state transition function.When a branch is committed,the conventional saturating counter needs to be updated about whether the prediction results are correct or not.While for the probabilistic saturating counter,the branch predictor determines whether the update is performed based on the update probability.The probabilistic saturating counter dramatically reduces the ability of the attacker to spy the saturating counter's state.Our analyses using a cycle-accurate simulator suggest that the proposed mechanism incurs 2.4%performance overhead and hardware cost while providing strong protection. 展开更多
关键词 branch predictor side-channel attack saturating counter
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部