期刊文献+
共找到532篇文章
< 1 2 27 >
每页显示 20 50 100
Photoluminescence Characterization of Boron-doped Si Layers Grown by Molecular Beam Epitaxy
1
作者 LI Cheng LAI Hong-kai CHEN Song-yan 《Semiconductor Photonics and Technology》 CAS 2005年第4期225-227,共3页
Photoluminescence spectra were used to characterize the boron-doped Si layers grown by molecular beam epitaxy using HBO2 as the doping source. The influence of boron doping concentration on the dislocation-related pho... Photoluminescence spectra were used to characterize the boron-doped Si layers grown by molecular beam epitaxy using HBO2 as the doping source. The influence of boron doping concentration on the dislocation-related photoluminescence spectra of molecular beam epitaxy Si layers annealed at 900℃ was studied with different doping concentrations and growth temperature. The broad photoluminescence band(from 0.75eV to 0.90eV) including D1 and D2 bands was associated with high boron doping concentration in the samples, while D3 and D4 bands might be related to oxygen precipitates. 展开更多
关键词 光致发光 分子束 取向附生 光谱学 硅元素层 掺杂
下载PDF
Formation mechanism of incubation layers in the initial stage of microcrystalline silicon growth by PECVD 被引量:8
2
作者 侯国付 薛俊明 +4 位作者 郭群超 孙建 赵颖 耿新华 李乙钢 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第2期553-557,共5页
The incubation layers in microcrystalline silicon films (μc-Si:H) are studied in detail. The incubation layers in μc- Si:H films are investigated by biracial Raman spectra, and the results indicate that either d... The incubation layers in microcrystalline silicon films (μc-Si:H) are studied in detail. The incubation layers in μc- Si:H films are investigated by biracial Raman spectra, and the results indicate that either decreasing silane concentration (SC) or increasing plasma power can reduce the thickness of incubation layer. The analysis of the in-situ diagnosis by plasma optical emission spectrum (OES) shows that the emission intensities of the SiH*(412 nm) and Hα (656 nm) lines are time-dependent, thus SiH*/Hα ratio is of temporal evolution. The variation of SiH*/Hα ratio can indicate the variation in relative concentration of precursor and atomic hydrogen in the plasma. And the atomic hydrogen plays a crucial role in the formation of μc-Si:H; thus, with the plasma excited, the temporal-evolution SiH*/Hα ratio has a great influence on the formation of an incubation layer in the initial growth stage. The fact that decreasing the SC or increasing the plasma power can decrease the SIH*/Hα ratio is used to explain why the thickness of incubation layer can reduce with decreasing the SC or increasing the plasma power. 展开更多
关键词 microcrystalline silicon incubation layer biracial Raman measurement optical emissionspectrum (OES)
下载PDF
Analysis of oxide layer structure in nitrided grain-oriented silicon steel 被引量:3
3
作者 Xiao-long Wu Xia Li +2 位作者 Ping Yang Zhi-wei Jia Hai-li Zhang 《International Journal of Minerals,Metallurgy and Materials》 SCIE EI CAS CSCD 2019年第12期1531-1538,共8页
The production of low-temperature reheated grain-oriented silicon steel is mainly based on the acquired inhibitor method.Due to the additional nitriding process,a high nitrogen content exists in the oxide layer,which ... The production of low-temperature reheated grain-oriented silicon steel is mainly based on the acquired inhibitor method.Due to the additional nitriding process,a high nitrogen content exists in the oxide layer,which changes the structure of the oxide layer.In this study,the structure of the surface oxide layer after nitriding was analyzed by scanning electron microscopy(SEM),electron back-scattered diffraction(EBSD),glow discharge spectrometry(GDS),and X-ray diffraction(XRD).The size and orientation of ferritic grains in the oxide layer were characterized,and the distribution characteristics of the key elements along the thickness direction were determined.The results show that the oxide layer of the steel sample mainly comprised particles of Fe2SiO4 and spherical and lamellar SiO2,and Fe4N and fcc-Fe phases were also detected.Moreover,the size and orientation of ferritic grains in the oxide layer were different from those of coarse matrix ferritic grains beneath the oxide layer;however,some ferritic grains exhibited same orientations as those in the neighboring matrix.Higher nitrogen content was detected in the oxide layer than that in the matrix beneath the oxide layer.The form of nitrogen enrichment in the oxide layer was analyzed,and the growth mechanism of ferritic grains during the oxide layer formation is proposed. 展开更多
关键词 grain-oriented silicon steel DECARBURIZATION ANNEALING OXIDE layer ferritic grains SILICA
下载PDF
Improvement in IBC-silicon solar cell performance by insertion of highly doped crystalline layer at heterojunction interfaces 被引量:3
4
作者 Hadi Bashiri Mohammad Azim Karami Shahramm Mohammadnejad 《Chinese Physics B》 SCIE EI CAS CSCD 2017年第10期508-514,共7页
By inserting a thin highly doped crystalline silicon layer between the base region and amorphous silicon layer in an interdigitated back-contact (IBC) silicon solar cell, a new passivation layer is investigated. The... By inserting a thin highly doped crystalline silicon layer between the base region and amorphous silicon layer in an interdigitated back-contact (IBC) silicon solar cell, a new passivation layer is investigated. The passivation layer performance is characterized by numerical simulations. Moreover, the dependence of the output parameters of the solar cell on the additional layer parameters (doping concentration and thickness) is studied. By optimizing the additional passivation layer in terms of doping concentration and thickness, the power conversion efficiency could be improved by a factor of 2.5%, open circuit voltage is increased by 30 mV and the fill factor of the solar cell by 7.4%. The performance enhancement is achieved due to the decrease of recombination rate, a decrease in solar cell resistivity and improvement of field effect passivation at heterojunction interface. The above-mentioned results are compared with reported results of the same conventional interdigitated back-contact silicon solar cell structure. Furthermore, the effect of a-Si:H/c-Si interface defect density on IBC silicon solar cell parameters with a new passivation layer is studied. The additional passivation layer also reduces the sensitivity of output parameter of solar cell to interface defect density. 展开更多
关键词 IBC silicon solar cells interface layer recombination interface defect density
下载PDF
Growth characteristics of amorphous-layer-free nanocrystalline silicon films fabricated by very high frequency PECVD at 250 ℃ 被引量:3
5
作者 郭艳青 黄锐 +3 位作者 宋捷 王祥 宋超 张奕雄 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第6期389-393,共5页
Amorphous-layer-free nanocrystalline silicon films were prepared by a very high frequency plasma enhanced chem-ical vapor deposition (PECVD) technique using hydrogen-diluted Sill4 at 250 ℃. The dependence of the cr... Amorphous-layer-free nanocrystalline silicon films were prepared by a very high frequency plasma enhanced chem-ical vapor deposition (PECVD) technique using hydrogen-diluted Sill4 at 250 ℃. The dependence of the crystallinity of the film on the hydrogen dilution ratio and the film thickness was investigated. Raman spectra show that the thickness of the initial amorphous incubation layer on silicon oxide gradually decreases with increasing hydrogen dilution ratio. High-resolution transmission electron microscopy reveals that the initial amorphous incubation layer can be completely eliminated at a hydrogen dilution ratio of 98%, which is lower than that needed for the growth of amorphous-layer-free nanocrystalline silicon using an excitation frequency of 13.56 MHz. More studies on the microstructure evolution of the initial amorphous incubation layer with hydrogen dilution ratios were performed using Fourier-transform infrared spectroscopy. It is suggested that the high hydrogen dilution, as well as the higher plasma excitation frequency, plays an important role in the formation of amorphous-layer-free nanocrystalline silicon films. 展开更多
关键词 nanocrystalline silicon amorphous incubation layer plasma enhanced chemical vapordeposition
下载PDF
Breakdown voltage model and structure realization of a thin silicon layer with linear variable doping on a silicon on insulator high voltage device with multiple step field plates 被引量:2
6
作者 乔明 庄翔 +4 位作者 吴丽娟 章文通 温恒娟 张波 李肇基 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第10期504-511,共8页
Based on the theoretical and experimental investigation of a thin silicon layer(TSL) with linear variable doping(LVD) and further research on the TSL LVD with a multiple step field plate(MSFP),a breakdown voltag... Based on the theoretical and experimental investigation of a thin silicon layer(TSL) with linear variable doping(LVD) and further research on the TSL LVD with a multiple step field plate(MSFP),a breakdown voltage(BV) model is proposed and experimentally verified in this paper.With the two-dimensional Poisson equation of the silicon on insulator(SOI) device,the lateral electric field in drift region of the thin silicon layer is assumed to be constant.For the SOI device with LVD in the thin silicon layer,the dependence of the BV on impurity concentration under the drain is investigated by an enhanced dielectric layer field(ENDIF),from which the reduced surface field(RESURF) condition is deduced.The drain in the centre of the device has a good self-isolation effect,but the problem of the high voltage interconnection(HVI) line will become serious.The two step field plates including the source field plate and gate field plate can be adopted to shield the HVI adverse effect on the device.Based on this model,the TSL LVD SOI n-channel lateral double-diffused MOSFET(nLDMOS) with MSFP is realized.The experimental breakdown voltage(BV) and specific on-resistance(R on,sp) of the TSL LVD SOI device are 694 V and 21.3 ·mm 2 with a drift region length of 60 μm,buried oxide layer of 3 μm,and silicon layer of 0.15 μm,respectively. 展开更多
关键词 breakdown voltage model enhanced dielectric layer field thin silicon layer linear variable doping multiple step field plates
下载PDF
Large energy-loss straggling of swift heavy ions in ultra-thin active silicon layers 被引量:2
7
作者 张战刚 刘杰 +13 位作者 侯明东 孙友梅 赵发展 刘刚 韩郑生 耿超 刘建德 习凯 段敬来 姚会军 莫丹 罗捷 古松 刘天奇 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第9期505-511,共7页
Monte Carlo simulations reveal considerable straggling of energy loss by the same ions with the same energy in fully-depleted silicon-on-insulator (FDSOI) devices with ultra-thin sensitive silicon layers down to 2.5... Monte Carlo simulations reveal considerable straggling of energy loss by the same ions with the same energy in fully-depleted silicon-on-insulator (FDSOI) devices with ultra-thin sensitive silicon layers down to 2.5 rim. The absolute straggling of deposited energy decreases with decreasing thickness of the active silicon layer. While the relative straggling increases gradually with decreasing thickness of silicon films and exhibits a sharp rise as the thickness of the silicon film descends below a threshold value of 50 nm, with the dispersion of deposited energy ascending above ~10%. Ion species and energy dependence of the energy-loss straggling are also investigated. For a given beam, the dispersion of deposited energy results in large uncertainty on the actual linear energy transfer (LET) of incident ions, and thus single event effect (SEE) responses, which pose great challenges for traditional error rate prediction methods. 展开更多
关键词 single event effects energy-loss straggling ultra-thin silicon layer Monte Carlo simulation
下载PDF
Engineering of carbon and other protective coating layers for stabilizing silicon anode materials 被引量:6
8
作者 Fenglin Wang Gen Chen +2 位作者 Ning Zhang Xiaohe Liu Renzhi Ma 《Carbon Energy》 CAS 2019年第2期219-245,共27页
Silicon(Si)has been attracting extensive attention for rechargeable lithium(Li)‐ion batteries due to its high theoretical capacity and low potential vs Li/Li+.However,it remains challenging and problematic to stabili... Silicon(Si)has been attracting extensive attention for rechargeable lithium(Li)‐ion batteries due to its high theoretical capacity and low potential vs Li/Li+.However,it remains challenging and problematic to stabilize the Si materials during electrochemical cycling because of the huge volume expansion,which results in losing electric contact and pulverization of Si particles.Consequently,the Si anode materials generally suffer from poor cycling,poor rate performance,and low coulomb efficiency,preventing them from practical applications.Up‐to‐date,there are numerous reports on the engineering of Si anode materials at microscale and nanoscale with significantly improved electrochemical performances.In this review,we will concentrate on various precisely designed protective layers for silicon‐based materials,including carbon layers,inorganic layers,and conductive polymer protective layer.First,we briefly introduced the alloying and failure mechanism of Si as anode materials upon electrochemical reactions.Following that,representative cases have been introduced and summarized to illustrate the purpose and advancement of protective coating layers,for instance,to alleviate pulverization and improve conductivity caused by volume expansion of Si particles during charge/discharge process,and maintain the surface stability of Si particles to form a stable solid‐electrolyte interphase layer.At last,possible strategies on the protective coating layer for stabilizing silicon anode materials that can be applied in the future have been indicated. 展开更多
关键词 silicon CARBON protective layer lithium storage
下载PDF
The study of amorphous incubation layers during the growth of microcrystalline silicon films under different deposition conditions 被引量:1
9
作者 陈永生 徐艳华 +3 位作者 谷锦华 卢景霄 杨仕娥 郜小勇 《Chinese Physics B》 SCIE EI CAS CSCD 2010年第8期567-571,共5页
The structural un-uniformity of microcrystalline silicon, thin film, amorphous incubation layerc-Si:H films prepared using very high frequency plasma-enhanced chemical vapour deposition method has been investigated ... The structural un-uniformity of microcrystalline silicon, thin film, amorphous incubation layerc-Si:H films prepared using very high frequency plasma-enhanced chemical vapour deposition method has been investigated by Raman spectroscopy, spectroscopic ellipsometer and atomic force mi- croscopy. It was found that the formation of amorphous incubation layer was caused by the back diffusion of SiH4 and the amorphous induction of glass surface during the initial ignition process, and growth of the incubation layer can be suppressed and uniform μc-Si:H phase is generated by the application of delayed initial SiH4 density and silane profiling methods. 展开更多
关键词 microcrystalline silicon thin film amorphous incubation layer
下载PDF
Effect of Boundary Layers on Polycrystalline Silicon Chemical Vapor Deposition in a Trichlorosilane and Hydrogen System 被引量:4
10
作者 张攀 王伟文 +1 位作者 陈光辉 李建隆 《Chinese Journal of Chemical Engineering》 SCIE EI CAS CSCD 2011年第1期1-9,共9页
这份报纸论述动量的效果的数字调查,热并且由在三化学蒸汽免职(CVD ) 比较免职率的多晶的硅免职的特征上的种类边界层反应堆。为煤气的流动,热转移,和集体转移的一个二维的模型从 trichlorosilane (TCS ) 为多晶的硅的免职被联合到... 这份报纸论述动量的效果的数字调查,热并且由在三化学蒸汽免职(CVD ) 比较免职率的多晶的硅免职的特征上的种类边界层反应堆。为煤气的流动,热转移,和集体转移的一个二维的模型从 trichlorosilane (TCS ) 为多晶的硅的免职被联合到煤气阶段的反应和表面反应机制氢系统。模型被在开的文学把模仿的生长率与试验性、数字的数据作比较验证。在反应堆的计算结果显示免职特征是仔细与动量有关,热、集体的边界层厚度。为了产出更高的免职,评价,应该有底层上的 TCS 气体的更高的集中,并且应该也有 HCl 气体的更薄的边界层以便 HCl 气体能立即从底层的表面被推开。 展开更多
关键词 化学气相沉积法 边界层厚度 多晶硅 氢系统 三氯硅烷 氯化氢气体 沉积速率 数值模拟
下载PDF
Fabrication of seeded substrates for layer transferrable silicon films
11
作者 李纪周 张伟 +4 位作者 鄢靖源 王聪 陈宏飞 陈小源 刘东方 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第8期450-454,共5页
The layer transfer process is one of the most promising methods for low-cost and highly-efficient solar cells, in which transferrable mono-crystalline silicon thin wafers or films can be produced directly from gaseous... The layer transfer process is one of the most promising methods for low-cost and highly-efficient solar cells, in which transferrable mono-crystalline silicon thin wafers or films can be produced directly from gaseous feed-stocks. In this work, we show an approach to preparing seeded substrates for layer-transferrable silicon films. The commercial silicon wafers are used as mother substrates, on which periodically patterned silicon rod arrays are fabricated, and all of the surfaces of the wafers and rods are sheathed by thermal silicon oxide. Thermal evaporated aluminum film is used to fill the gaps between the rods and as the stiff mask, while polymethyl methacrylate (PMMA) and photoresist are used as the soft mask to seal the gap between the filled aluminum and the rods. Under the joint resist of the stiff and soft masks, the oxide on the rod head is selectively removed by wet etching and the seed site is formed on the rod head. The seeded substrate is obtained after the removal of the masks. This joint mask technique will promote the endeavor of the exploration of mechanically stable, unlimitedly reusable substrates for the kerfless technology. 展开更多
关键词 seeded substrate layer transfer joint mask FILLER silicon film
下载PDF
Dielectric layer-dependent surface plasmon effect of metallic nanoparticles on silicon substrate
12
作者 徐锐 王晓东 +5 位作者 刘雯 徐晓娜 李越强 季安 杨富华 李晋闽 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第2期379-385,共7页
The electromagnetic interaction between Ag nanoparticles on the top of the Si substrate and the incident light has been studied by numerical simulations. It is found that the presence of dielectric layers with differe... The electromagnetic interaction between Ag nanoparticles on the top of the Si substrate and the incident light has been studied by numerical simulations. It is found that the presence of dielectric layers with different thicknesses leads to the varied resonance wavelength and scattering cross section and consequently the shifted photocurrent response for all wavelengths. These different behaviours are determined by whether the dielectric layer is beyond the domain where the elcetric field of metallic plasmons takes effect, combined with the effect of geometrical optics. It is revealed that for particles of a certain size, an appropriate dielectric thickness is desirable to achieve the best absorption. For a certain thickness of spacer, an appropriate granular size is also desirable. These observations have substantial applications for the optimization of surface plasmon enhanced silicon solar cells. 展开更多
关键词 nanoscale Ag cluster surface plasmon silicon substrate dielectric layer
下载PDF
Effect of emitter layer doping concentration on the performance of a silicon thin film heterojunction solar cell
13
作者 张磊 沈鸿烈 +3 位作者 岳之浩 江丰 吴天如 潘园园 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第1期457-461,共5页
A novel type of n/i/i/p heterojunction solar cell with a-Si:H(15 nm)/a-Si:H(10 nm)/epitaxial c-Si(47 p.m)/epitaxial c-Si(3 um) structure is fabricated by using the layer transfer technique, and the emitter l... A novel type of n/i/i/p heterojunction solar cell with a-Si:H(15 nm)/a-Si:H(10 nm)/epitaxial c-Si(47 p.m)/epitaxial c-Si(3 um) structure is fabricated by using the layer transfer technique, and the emitter layer is deposited by hot wire chemical vapour deposition. The effect of the doping concentration of the emitter layer Sd (Sd=PH3/(PH3 +SiH4+H2)) on the performance of the solar cell is studied by means of current density-voltage and external quantum efficiency. The results show that the conversion efficiency of the solar cell first increases to a maximum value and then decreases with Sd increasing from 0.1% to 0.4%. The best performance of the solar cell is obtained at Sd = 0.2% with an open circuit voltage of 534 mV, a short circuit current density of 23.35 mA/cm2, a fill factor of 63.3%, and a conversion efficiency of 7.9%. 展开更多
关键词 layer transfer silicon thin film heterojunction solar cell hot wire chemical vapor deposition doping concentration
下载PDF
Effects of A Top SiO2 Surface Layer on Cavity Formation and Helium Desorption in Silicon
14
作者 Liu Changlong Yin Lijun Lü Yiying Alquier D 《Journal of Rare Earths》 SCIE EI CAS CSCD 2006年第z1期78-82,共5页
Cz n-type Si (100) samples with and without a top SiO2 layer were implanted with 40 keV helium ions at the same dose of 5×1016 cm-2. Cross-sectional transmission electron microscopy (XTEM) and thermal desorption ... Cz n-type Si (100) samples with and without a top SiO2 layer were implanted with 40 keV helium ions at the same dose of 5×1016 cm-2. Cross-sectional transmission electron microscopy (XTEM) and thermal desorption spectroscopy (THDS) were used to study the thermal evolution of cavities upon and helium thermal release, respectively. XTEM results show that the presence of the top SiO2 layer could suppress the thermal growth of cavities mainly formed in the region close to the SiO2/Si interface, which leads to the reduction in both the cavity band and cavity density. THDS results reveal that the top oxide layer could act as an effective barrier for the migration of helium atoms to the surface, and it thus gives rise to the formation of more overpresurrized bubbles and to the occurrence of a third release peak located at about 1100 K. The results were qualitively discussed by considering the role of the oxide surface layer in defect migration and evolution upon annealing. 展开更多
关键词 silicon SIO2 layer HELIUM IMPLANTATION CAVITIES HELIUM release
下载PDF
FORMATION AND PROPERTIES 0F POROUS SILICON LAYER ON HEAVILY DOPED n-Si
15
作者 Rui Bao JIA Shi Xun WANG Guo Xheng LI Department of Chemistry, Shandong University, Jinan 250100 《Chinese Chemical Letters》 SCIE CAS CSCD 1993年第7期657-658,共2页
The anodic voltammetric curves of heavily doped n-Si in HF solution, on which three different regions have emerged, and were plotted, A porous silicon layer with fine morphology was formed in linear region.
关键词 PSL HF FORMATION AND PROPERTIES 0F POROUS silicon layer ON HEAVILY DOPED n-Si
下载PDF
The Role of Silion Oxide Layers in Luminescence of Ensembles of Silicon Quantum Dots
16
作者 WANGSi-Hui QINGuo-Yi 《Communications in Theoretical Physics》 SCIE CAS CSCD 2001年第3期371-380,共10页
Based on the quantum confinement-luminesecence center model,to ensembles of spherical silicon nanocrystals (nc-Si)containg two kinds of luminescence centers(LCs) in the SiOx layers surrounding the nc-Si,the relationsh... Based on the quantum confinement-luminesecence center model,to ensembles of spherical silicon nanocrystals (nc-Si)containg two kinds of luminescence centers(LCs) in the SiOx layers surrounding the nc-Si,the relationship between the photolumincescence(PL) and the thickness of the SiOx layer is studied with the excitation energy flux density as a parameter.When there is no SiOx layer surrounding the nc-Si,the electron-heavy hole pair can only recombine inside the nc-Si,then the PL bluehift with reducing particle sizes roughly accords with the rule predicted by the quantum confinement model of Canham.When there presences a SiOx layer,some of the carriers may tunnel into it and recombine outside the nc-Si at the LCs to emit visible light.The thicker the SiOx layer is,the higher the radiative recombination rate occurred outside the nc-Si will be.When the central Scale of the nc-Si is much smaller than the critical scale,the radiative recombination rate outside the nc-Si dominates,and visible PL will be possible for some nc-Si samples with big average radius,greater than 4nm,for example.When there is only one kind of LC in the SIOx layer,the PL peak position does not shift with reducing particle sizes.All these conclusions are in accord with the experimental results.When there are two or more kinds of LCs in the SiOx layer,the PL peak position energy and intensity swing with reducing particle sizes. 展开更多
关键词 氧化硅薄膜 硅量子斑点 荧光
下载PDF
Layer stacked SiO_(x) microparticle with disconnected interstices enables stable interphase and particle integrity for lithium-ion batteries
17
作者 Yang Ren Xucai Yin +4 位作者 Lizhi Xiang Rang Xiao Hua Huo Geping Yin Chunyu Du 《Journal of Energy Chemistry》 SCIE EI CAS CSCD 2023年第11期300-307,I0007,共9页
Severe mechanical fractu re and unstable interphase,associated with the large volumetric expansion/contraction,significantly hinder the application of high-capacity SiO_(x)materials in lithium-ion batteries.Herein,we ... Severe mechanical fractu re and unstable interphase,associated with the large volumetric expansion/contraction,significantly hinder the application of high-capacity SiO_(x)materials in lithium-ion batteries.Herein,we report the design and facile synthesis of a layer stacked SiO_(x)microparticle(LS-SiO_(x))material,which presents a stacking structure of SiO_(x)layers with abundant disconnected interstices.This LS-SiO_(x)microparticle can effectively accommodate the volume expansion,while ensuring negligible particle expansion.More importantly,the interstices within SiO_(x)microparticle are disconnected from each other,which efficiently prevent the electrolyte from infiltration into the interior,achieving stable electrode/-electrolyte interface.Accordingly,the LS-SiO_(x)material without any coating delivers ultrahigh average Coulombic efficiency,outstanding cycling stability,and full-cell applicability.Only 6 cycles can attain>99.92%Coulombic efficiency and the capacity retention at 0.05 A g^(-1)for 100 cycles exceeds99%.After 800 cycles at 1 A g^(-1),the thickness swelling of LS-SiO_(x)electrode is as low as 0.87%.Moreover,the full cell with pure LS-SiO_(x)anode exhibits capacity retention of 91.2%after 300 cycles at 0.2 C.This work provides a novel concept and effective approach to rationally design silicon-based and other electrode materials with huge volume variation for electrochemical energy storage applications. 展开更多
关键词 Lithium-ion batteries silicon oxide layer stacked structure Disconnected interstices Coulombic efficiency
下载PDF
Improvement in the electrical performance and bias-stress stability of dual-active-layered silicon zinc oxide/zinc oxide thin-film transistor
18
作者 刘玉荣 赵高位 +1 位作者 黎沛涛 姚若河 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期452-457,共6页
Si-doped zinc oxide(SZO) thin films are deposited by using a co-sputtering method,and used as the channel active layers of ZnO-based TFTs with single and dual active layer structures.The effects of silicon content o... Si-doped zinc oxide(SZO) thin films are deposited by using a co-sputtering method,and used as the channel active layers of ZnO-based TFTs with single and dual active layer structures.The effects of silicon content on the optical transmittance of the SZO thin film and electrical properties of the SZO TFT are investigated.Moreover,the electrical performances and bias-stress stabilities of the single- and dual-active-layer TFTs are investigated and compared to reveal the effects of the Si doping and dual-active-layer structure.The average transmittances of all the SZO films are about 90% in the visible light region of 400 nm-800 nm,and the optical band gap of the SZO film gradually increases with increasing Si content.The Si-doping can effectively suppress the grain growth of ZnO,revealed by atomic force microscope analysis.Compared with that of the undoped ZnO TFT,the off-state current of the SZO TFT is reduced by more than two orders of magnitude and it is 1.5 × 10^-12 A,and thus the on/off current ratio is increased by more than two orders of magnitude.In summary,the SZO/ZnO TFT with dual-active-layer structure exhibits a high on/off current ratio of 4.0 × 10^6 and superior stability under gate-bias and drain-bias stress. 展开更多
关键词 thin film transistor (TFT) silicon-doped zinc oxide dual-active-layer structure bias-stress stability
下载PDF
Quantitative Evaluation of an Epitaxial Silicon-Germanium Layer on Silicon
19
作者 Jie-Yi Yao Kun-Lin Lin Chiung-Chih Hsu 《Microscopy Research》 2015年第4期41-49,共9页
An epitaxial SixGey layer on a silicon substrate was quantitatively evaluated using rocking curve (RC) and reciprocal space map (RSM) obtained by powder X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (E... An epitaxial SixGey layer on a silicon substrate was quantitatively evaluated using rocking curve (RC) and reciprocal space map (RSM) obtained by powder X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDS) in conjunction with transmission electron microscopy (TEM), and EDS in conjunction with scanning electron microscopy (SEM). To evaluate the relative deviation of the quantitative analysis results obtained by the RC, RSM, SEM/EDS, and TEM/EDS methods, a standard sample comprising a Si0.7602Ge0.2398 layer on a Si substrate was used. The correction factor (K-factor) for each technique was determined using multiple measurements. The average and standard deviation of the atomic fraction of Ge in the Si0.7602Ge0.2398 standard sample, as obtained by the RC, RSM, TEM/EDS, and SEM/EDS methods, were 0.2463 ± 0.0016, 0.2460 ± 0.0015, 0.2350 ± 0.0156, and 0.2433 ± 0.0059, respectively. The correction factors for the RC, RSM, TEM/EDS, and SEM/EDS methods were 0.9740, 0.9740, 1.0206, and 0.9856, respectively. The SixGey layer on a silicon substrate was quantitatively evaluated using the RC, RSM, and EDS/TEM methods. The atomic fraction of Ge in the epitaxial SixGey layer, as evaluated by the RC and RSM methods, was 0.1833 ± 0.0007, 0.1792 ± 0.0001, and 0.1631 ± 0.0105, respectively. After evaluating the results of the atomic fraction of Ge in the epitaxial layer, the error was very small, i.e., less than 3%. Thus, the RC, RSM, TEM/EDS, and SEM/EDS methods are suitable for evaluating the composition of Ge in epitaxial layers. However, the thickness of the epitaxial layer, whether the layer is strained or relaxed, and whether the area detected in the TEM and SEM analyses is consistent must be considered. 展开更多
关键词 silicon-GERMANIUM EPITAXIAL layer ROCKING Curve Reciprocal SPACING Map TEM SEM EDS
下载PDF
Effect of Annealing on Aluminum Oxide Passivation Layer for Crystalline Silicon Wafer
20
作者 Teng-Yu Wang Cheng-Chi Liu +2 位作者 Chien-Hsiung Hon Chen-Hsun Du Chung-Yuan Kung 《Journal of Energy and Power Engineering》 2013年第8期1505-1510,共6页
关键词 退火条件 钝化层 晶体硅 氧化铝 少数载流子寿命 硅片 缺陷密度 SIOX
下载PDF
上一页 1 2 27 下一页 到第
使用帮助 返回顶部