期刊文献+
共找到145篇文章
< 1 2 8 >
每页显示 20 50 100
基于Camera Link的视频图像信号源设计
1
作者 马银鸿 范正吉 +2 位作者 李张倩 洪应平 张会新 《电子设计工程》 2023年第12期23-27,共5页
针对给某智能图像信息处理终端提供可靠的图像信号源,设计了一种基于Camera Link接口的视频图像信号源。该文对图像信号源进行了总体方案设计、硬件电路设计以及帧同步算法设计并进行了验证。该图像信号源通过PCIe总线连接到上位机,并利... 针对给某智能图像信息处理终端提供可靠的图像信号源,设计了一种基于Camera Link接口的视频图像信号源。该文对图像信号源进行了总体方案设计、硬件电路设计以及帧同步算法设计并进行了验证。该图像信号源通过PCIe总线连接到上位机,并利用DDR3高速缓存图像。通过Camera Link接口与智能图像信息处理终端之间进行通信。结果表明,该设备可用于生产过程中智能图像信息处理终端的相关试验,完成了测试图像的输出,输出图像有效像素为2 560×720,采集到的画面40帧/秒,带宽可达1.9 Gbps,具有一定的工程应用价值。 展开更多
关键词 camera link PCIe总线 图像信号源 图像传输
下载PDF
基于Camera Link的高可靠性图像数据传输设计 被引量:4
2
作者 甄国涌 丁润琦 张凯华 《仪表技术与传感器》 CSCD 北大核心 2021年第1期43-47,共5页
针对高速图像数据在传输时可靠性低的问题,分别从硬件和逻辑两方面对图像传输接口进行优化设计。在硬件上,采用Camera Link为高速图像数据传输接口;在逻辑上,采用FPGA为主控制器,通过对Camera Link接口时序优化设计,保证了数据传输的准... 针对高速图像数据在传输时可靠性低的问题,分别从硬件和逻辑两方面对图像传输接口进行优化设计。在硬件上,采用Camera Link为高速图像数据传输接口;在逻辑上,采用FPGA为主控制器,通过对Camera Link接口时序优化设计,保证了数据传输的准确性,并且通过采用CRC+ECC双校验结合的方法实现了图像数据在高速传输时多错重传、少错纠正,提高了数据传输的可靠性。经试验验证,该设计可实现在短距离、大数据量的情况下可靠传输,在较长距离传输时误码率低于一百亿分之一。 展开更多
关键词 camera link 图像传输 双校验 时序优化 高速传输 低误码率
下载PDF
Camera Link图像数据接口的FPGA实现 被引量:2
3
作者 甄国涌 何方城 单彦虎 《仪表技术与传感器》 CSCD 北大核心 2020年第11期36-39,共4页
针对当前利用Camera Link接口进行图像数据传输所使用的专用转接芯片,会占用大量硬件空间和I/O口资源的问题,结合实际领域对于产品小型化、低成本的需求,提出了一种Camera Link图像数据接口的FPGA实现方案。运用硬件描述语言VHDL对图像... 针对当前利用Camera Link接口进行图像数据传输所使用的专用转接芯片,会占用大量硬件空间和I/O口资源的问题,结合实际领域对于产品小型化、低成本的需求,提出了一种Camera Link图像数据接口的FPGA实现方案。运用硬件描述语言VHDL对图像接收逻辑进行设计,为FPGA内部IP核进行模块化配置,直接利用主控制器FPGA来实现Camera Link接口,使LVDS图像数据不通过转接芯片,也能够进行解串接收和数据处理。经时序信号仿真和误码率测试,验证了该接口方案设计的正确性,具有高可靠性和实际利用价值。 展开更多
关键词 camera link接口 FPGA LVDS 图像数据 串并转换
下载PDF
基于FPGA的Camera Link接口设计
4
作者 任丽晔 汪晨 《长春大学学报》 2022年第4期11-15,共5页
提出了一种基于FPGA的Camera Link接口设计,在其图像传输的功能上,配合图像采集卡添加串口通信功能,实现对Camera Link接口的测试。同时使用MMCM原语提供对整个系统的时钟输入,通过OSERDESE原语实现Camera Link接口数据的串并之间的转... 提出了一种基于FPGA的Camera Link接口设计,在其图像传输的功能上,配合图像采集卡添加串口通信功能,实现对Camera Link接口的测试。同时使用MMCM原语提供对整个系统的时钟输入,通过OSERDESE原语实现Camera Link接口数据的串并之间的转换。最后使用Modelsim仿真软件对所有模块进行仿真,测试整体的功能,实现Camera Link接口的设计,验证方案的正确性。 展开更多
关键词 camera link 图像传输 口通信 原语
下载PDF
基于Camera Link的高速图像采集处理器 被引量:15
5
作者 贾建禄 王建立 +1 位作者 郭爽 阴玉梅 《液晶与显示》 CAS CSCD 北大核心 2010年第6期914-918,共5页
设计了基于Camera Link标准的高速图像采集处理器,可以对高速的数字相机进行图像采集及实时图像处理。系统采用FPGA和DSP作为主要的内核处理单元,FGPA完成图像的采集和预处理,DSP完成复杂的图像处理任务。详细给出了处理器的结构设计和... 设计了基于Camera Link标准的高速图像采集处理器,可以对高速的数字相机进行图像采集及实时图像处理。系统采用FPGA和DSP作为主要的内核处理单元,FGPA完成图像的采集和预处理,DSP完成复杂的图像处理任务。详细给出了处理器的结构设计和一些关键技术,如Camera Link接口技术、高速缓存以及显示技术、图像处理和输出接口设计等。经过调试,系统最终可以实时完成1000帧/s的图像采集和处理任务。 展开更多
关键词 camera link 图像采集 图像处理
下载PDF
基于FPGA的Camera Link转HD-SDI接口转换系统 被引量:11
6
作者 陈东成 朱明 +1 位作者 郝志成 刘剑 《液晶与显示》 CAS CSCD 北大核心 2014年第6期1065-1070,共6页
由于Camera Link相机具有接口复杂、传输距离近等局限性,设计并实现了一种基于FPGA的Camera Link转HD-SDI接口转换系统。该系统采用Altera公司的EP2S60F1020高性能FPGA完成图像数据的采集并按SMPTE274M标准编码;为解决Camera Link相机... 由于Camera Link相机具有接口复杂、传输距离近等局限性,设计并实现了一种基于FPGA的Camera Link转HD-SDI接口转换系统。该系统采用Altera公司的EP2S60F1020高性能FPGA完成图像数据的采集并按SMPTE274M标准编码;为解决Camera Link相机输出数据同HD-SDI输出图像行、场时间不同的问题,采用3片SDRAM作为帧缓存模块,延迟1帧输出;编码完成的数据输出到并串转换芯片LMH0030,从而得到HD-SDI格式的视频输出。由于Camera Link相机输出数据同HD-SDI输出图像的帧频并不绝对相同,每隔708帧必须丢去一帧数据,从而导致输出时固定丢帧,但FPGA对图像的处理并不会丢帧。实验结果表明,本系统能够将Camera Link相机输出的图像数据转换成HD-SDI输出,并用采集卡采集到图像数据。 展开更多
关键词 camera link 转换 FPGA SMPTE 274M HD-SDI
下载PDF
Camera Link硬件接口电路设计 被引量:19
7
作者 朱齐丹 刘进业 康岭 《应用科技》 CAS 2008年第10期57-60,共4页
介绍了Camera Link接口的工作原理及Camera Link协议的主要内容,阐述了Camera Link硬件接口电路的设计方案.接口电路为图像采集卡的前端部分,主要功能为低压差分信号(LVDS)至CMOS/TTL(LVCMOS/LVTTL)信号的转换,相机控制和图像采集卡与... 介绍了Camera Link接口的工作原理及Camera Link协议的主要内容,阐述了Camera Link硬件接口电路的设计方案.接口电路为图像采集卡的前端部分,主要功能为低压差分信号(LVDS)至CMOS/TTL(LVCMOS/LVTTL)信号的转换,相机控制和图像采集卡与相机间的串行通信.系统以FPGA为主控制器,通过实验实现了上述功能,完成了Camera Link接口相机图像的采集和显示. 展开更多
关键词 camera link LVDS CMOS/TTL 电平转换
下载PDF
基于Cyclone IV的Camera Link-HDMI高清视频转换器设计 被引量:5
8
作者 梁义涛 唐垚 +2 位作者 史卫亚 王锋 李永刚 《电子技术应用》 北大核心 2013年第5期12-14,共3页
针对实际项目需求,在充分了解Camera Link接口协议和HDMI接口协议的基础上,给出了基于FPGA的Camera Link-HDMI高清视频转换器设计方案。选用Altera公司的Cyclone IV系列FPGA器件,完成了转换器的电路设计和性能测试。结果表明,图像转换... 针对实际项目需求,在充分了解Camera Link接口协议和HDMI接口协议的基础上,给出了基于FPGA的Camera Link-HDMI高清视频转换器设计方案。选用Altera公司的Cyclone IV系列FPGA器件,完成了转换器的电路设计和性能测试。结果表明,图像转换实时性好,色彩和图形无失真,满足设计要求。该方案是一种解决Camera Link-HDMI转换的有效方法,为相似的技术设计问题提供了有益的参考。 展开更多
关键词 视频处理 FPGA camera link HDMI 转换器
下载PDF
基于Camera Link接口的高帧频数字图像采集显示系统 被引量:8
9
作者 王鸣浩 陈涛 吴小霞 《微电子学与计算机》 CSCD 北大核心 2011年第3期149-152,共4页
设计了基于FPGA的嵌入式图像采集显示系统,并对该系统采用的接口协议、匹配端口和软硬件架构进行了研究.给出了系统各个功能模块的设计方法,对系统中接口模块的信号时序和图像数据缓存处理架构进行了软件编写及仿真.实验结果表明:满足... 设计了基于FPGA的嵌入式图像采集显示系统,并对该系统采用的接口协议、匹配端口和软硬件架构进行了研究.给出了系统各个功能模块的设计方法,对系统中接口模块的信号时序和图像数据缓存处理架构进行了软件编写及仿真.实验结果表明:满足了降低成本、节约空间、提高系统稳定性和工作带宽的要求. 展开更多
关键词 cameralink 高帧频相机 FPGA 图像采集
下载PDF
基于FPGA实现由多路复合信号传输到Camera Link传输的研究 被引量:4
10
作者 赖冬寅 吴云峰 +3 位作者 叶玉堂 王海林 陈镇龙 李毓光 《电子器件》 CAS 2009年第3期666-668,共3页
针对传统的复合视频信号直接传输图象信息具有抗干扰能力差,噪声大等缺点,提出了一个视频信号传输方式变换的新方案,利用Camera Link通信协议在数字信息领域具有传递距离远、抗干扰能力强的优点,实现了由4路实时的复合视频信号转换为1... 针对传统的复合视频信号直接传输图象信息具有抗干扰能力差,噪声大等缺点,提出了一个视频信号传输方式变换的新方案,利用Camera Link通信协议在数字信息领域具有传递距离远、抗干扰能力强的优点,实现了由4路实时的复合视频信号转换为1路高速的Camera Link格式的信号。这种方法改变了传统的复合视频信号直接传输图象信息的思维,从而为数字信息领域远距离多路实时视频信号的快速传送和处理提供了平台。 展开更多
关键词 复合视频信号 camera link协议 FPGA BT.656
下载PDF
Camera Link Full至HD-SDI接口的高清传输显示系统 被引量:3
11
作者 梁国龙 何丁龙 +2 位作者 张磊 刘延俊 王博 《液晶与显示》 CAS CSCD 北大核心 2016年第4期421-428,共8页
为实现远距离、高可靠性传输,并减小复杂度,对Camera Link Full接口数据的HD-SDI传输显示进行了深入研究。采用FPGA作为核心处理器,考虑相机输出具有多种帧频,采取帧频检测及充分降频策略,并通过3个SRAM进行缓存以实现帧频转换,以满足HD... 为实现远距离、高可靠性传输,并减小复杂度,对Camera Link Full接口数据的HD-SDI传输显示进行了深入研究。采用FPGA作为核心处理器,考虑相机输出具有多种帧频,采取帧频检测及充分降频策略,并通过3个SRAM进行缓存以实现帧频转换,以满足HD-SDI帧频25Hz的要求。考虑到SRAM数据宽度,采取FIFO行缓存策略将Camera Link Full80输出的10tap、80bits图像数据转换成单通道的8bits图像数据。最后,完成系统设计并进行实验验证。实验结果表明:系统实现了图像数据从50Hz、100Hz、500 Hz等多种帧频的Camera Link Full80到25帧HD-SDI接口1080i的格式转换及实时显示,且图像层次丰富,无失真。 展开更多
关键词 camera link Full HD-SDI FPGA 三缓存 视频编码
下载PDF
基于TMS320C6711的Camera Link相机控制的实现 被引量:7
12
作者 李志勇 袁魏华 杨镇华 《电子器件》 CAS 2007年第3期972-975,共4页
在分析了面阵CCD相机1M30的Camera Link协议接口特点的基础上,设计了一种具有较强通用性的DSP系统对Camera Link相机的控制方案.采用定时器和软件编程等手段,解决了DSP芯片TMS320C6711的多通道缓存串口(MCBSP)与1M30间异步串行通信的传... 在分析了面阵CCD相机1M30的Camera Link协议接口特点的基础上,设计了一种具有较强通用性的DSP系统对Camera Link相机的控制方案.采用定时器和软件编程等手段,解决了DSP芯片TMS320C6711的多通道缓存串口(MCBSP)与1M30间异步串行通信的传输速率及数据格式问题,成功设置相机参数;研究了在串行数据中产生帧同步接收信号(FSR)的可行性及失败原因.借助定时器产生的周期性脉冲信号及中断,使MCBSP可靠地接收相机反馈信息;根据相机曝光时序特点,用程序实现对相机的正常曝光控制. 展开更多
关键词 TMS320C6711 MCBSP camera link相机 控制
下载PDF
基于Camera Link的串行图像采集系统设计 被引量:8
13
作者 徐志跃 张田甜 《计算机应用》 CSCD 北大核心 2010年第6期1701-1703,1707,共4页
在设计测试系统时,要求高速、可靠地传输大量的图像信息至上位机进行存储和处理。采用LVDS或HOTLink信号格式,将远程CCD采集的图像信号进行串行传输,由FPGA乒乓操作进行缓存,通过CameraLink接口,将图像数据以串行方式高速传输至图像采... 在设计测试系统时,要求高速、可靠地传输大量的图像信息至上位机进行存储和处理。采用LVDS或HOTLink信号格式,将远程CCD采集的图像信号进行串行传输,由FPGA乒乓操作进行缓存,通过CameraLink接口,将图像数据以串行方式高速传输至图像采集板卡PXI-1428。实验中以150fps的速度连续采集128×130大小的串行LVDS图像或500fps的HOTLink图像,最大传输速率达到320Mbps。实验结果表明,CameraLink是实现高速串行图像传输的便捷途径。 展开更多
关键词 camera link CHANNEL link 低压差分信号 HOTlink
下载PDF
基于异步FIFO的Camera Link数字图像光纤传输技术 被引量:4
14
作者 张维达 崔明 张甫恺 《仪表技术与传感器》 CSCD 北大核心 2016年第7期47-50,共4页
为满足数字图像的远距离传输需求,设计用于传输Camera Link接口数字图像的光纤通讯系统。系统分为发送和接收两个部分,分别负责Camera Link数字图像到光信号的转化以及相应的逆变换过程。采用Cyclone I EP1C12 FPGA作为发送和接收板卡... 为满足数字图像的远距离传输需求,设计用于传输Camera Link接口数字图像的光纤通讯系统。系统分为发送和接收两个部分,分别负责Camera Link数字图像到光信号的转化以及相应的逆变换过程。采用Cyclone I EP1C12 FPGA作为发送和接收板卡的逻辑控制核心。在发送板卡的FPGA内设计逻辑控制模块,操纵两个异步FIFO(先入先出队列),将接收到的数字图像缓存,用本地时钟读取后再进行串行化转换。在不改变数字图像时序关系的前提下,用本地时钟替换原有的像素时钟,消除像素时钟不稳定性对后续传输影响。实验表明,利用该技术可以有效提升光纤传输系统的适应性、稳定性,改善、消除由像素时钟抖动造成的传输图像抖动现象。 展开更多
关键词 camera link 数字图像 FIFO 光纤传输
下载PDF
基于DSP+FPGA的Camera Link接口相机的图像处理平台设计 被引量:4
15
作者 张向阳 程勇策 曲思潼 《电视技术》 北大核心 2013年第15期215-219,共5页
以FPGA和DSP为中心,设计实现了Camera Link接口相机的数字图像数据的VGA和PAL双制显示,解决了数字图像数据由FPGA与DSP之间高速、大数据量的实时传输。DSP对数据进行实时处理后,经千兆网络向控制计算机终端传输图像数据,解决了相机数据... 以FPGA和DSP为中心,设计实现了Camera Link接口相机的数字图像数据的VGA和PAL双制显示,解决了数字图像数据由FPGA与DSP之间高速、大数据量的实时传输。DSP对数据进行实时处理后,经千兆网络向控制计算机终端传输图像数据,解决了相机数据传输距离的限制,拓展了高速网络相机的应用范围。 展开更多
关键词 FGPA DSP camera link 高速 数字相机
下载PDF
Base型Camera Link脱机存储系统设计 被引量:5
16
作者 吕耀文 王建立 曹景太 《光电子技术》 CAS 北大核心 2012年第4期242-245,共4页
为解决现有Base型Camera Link相机需要专用采集卡和系统机才能存储的问题,设计了基于FPGA的脱机存储系统。该系统使用两片SDRAM交替缓存图像后,经乒乓操作存储到两块IDE固态硬盘中。该系统实现了分辨率为640×480,帧频为100f/s的10... 为解决现有Base型Camera Link相机需要专用采集卡和系统机才能存储的问题,设计了基于FPGA的脱机存储系统。该系统使用两片SDRAM交替缓存图像后,经乒乓操作存储到两块IDE固态硬盘中。该系统实现了分辨率为640×480,帧频为100f/s的10位图像数据存储。实验表明系统实现了图像数据的完整存储。 展开更多
关键词 图像存储 camera link 现场可编程逻辑阵列 同步动态随机存取器 IDE固态 硬盘
下载PDF
基于Camera Link接口的图像采集控制器的设计与实现 被引量:7
17
作者 罗辉舞 洪海丽 任宇飞 《电子测量技术》 2010年第7期23-25,39,共4页
针对现有图像传输接口和图像采集控制器难以适应不断增加的图像传输速率的要求,介绍了一种以Camera Link为图像传输接口、以FPGA为处理核心、以NiosII软核为微处理器的图像采集控制器的设计与实现方法,描述了系统硬件组成以及信号处理流... 针对现有图像传输接口和图像采集控制器难以适应不断增加的图像传输速率的要求,介绍了一种以Camera Link为图像传输接口、以FPGA为处理核心、以NiosII软核为微处理器的图像采集控制器的设计与实现方法,描述了系统硬件组成以及信号处理流程,重点阐述了系统中图像数据的接收以及数据预处理模块的具体实现并给出了仿真结果,最后,讨论了NiosII处理器的实现以及程序的精简方式。测试结果表明,此控制器达到了预定的要求,具有设计灵活、可靠性高等优点。 展开更多
关键词 camera link FPGA 图像采集 NiosⅡ
下载PDF
基于FULL型Camera Link的高清彩色图像采集系统的设计 被引量:2
18
作者 韩帅 马游春 +2 位作者 秦丽 丁宁 南雪莉 《电子技术应用》 北大核心 2014年第6期89-92,共4页
提出一个基于Full型Camera Link的高清彩色图像采集系统设计方案,采用在Full工作模式下的Camera Link作为了相机与采集卡之间的数据传输总线,可以确保大量数据的快速、准确实时传输。详细阐述了系统内部各功能模块的硬件电路设计思路和... 提出一个基于Full型Camera Link的高清彩色图像采集系统设计方案,采用在Full工作模式下的Camera Link作为了相机与采集卡之间的数据传输总线,可以确保大量数据的快速、准确实时传输。详细阐述了系统内部各功能模块的硬件电路设计思路和软件设计流程。实验结果表明,该系统能够更清晰、更直观地对实验目标进行观测,可以采集到高分辨率的彩色图像。 展开更多
关键词 camera link 彩色图像 像素
下载PDF
Camera Link接口的异步FIFO设计与实现 被引量:2
19
作者 宋振丰 李岩 王鹤淇 《电子技术应用》 北大核心 2009年第12期61-64,共4页
介绍了异步FIFO在Camera Link接口中的应用,将Camera Link接口中的帧有效信号FVAL和行有效信号LVAL引入到异步FIFO的设计中。分析了FPGA中设计异步FIFO的难点,解决了异步FIFO设计中存在的两个关键问题:一是尽量降低电路中亚稳态的出现概... 介绍了异步FIFO在Camera Link接口中的应用,将Camera Link接口中的帧有效信号FVAL和行有效信号LVAL引入到异步FIFO的设计中。分析了FPGA中设计异步FIFO的难点,解决了异步FIFO设计中存在的两个关键问题:一是尽量降低电路中亚稳态的出现概率;二是如何产生空、满等相应的控制信号。为Camera Link接口提供了稳定的视频数据及控制信号。 展开更多
关键词 camera link 异步FIFO 双口RAM 亚稳态 格雷码
下载PDF
基于USB和Camera Link的数据传输系统设计 被引量:1
20
作者 张鑫 王晓东 +1 位作者 曲洪丰 薛盼盼 《电视技术》 北大核心 2012年第7期82-84,101,共4页
为了使CCD相机和上位机之间能够进行简单、实时的数据传输,提出一种以USB和Camera Link接口相结合的数据传输系统设计方案。通过FPGA对接口芯片进行控制,使用VHDL语言进行逻辑电路的设计,并采用VC++编写上位机软件。实现由USB接口接收数... 为了使CCD相机和上位机之间能够进行简单、实时的数据传输,提出一种以USB和Camera Link接口相结合的数据传输系统设计方案。通过FPGA对接口芯片进行控制,使用VHDL语言进行逻辑电路的设计,并采用VC++编写上位机软件。实现由USB接口接收数据,USB或Camera Link接口输出数据。实验表明,设计的系统能够准确、实时地接收控制命令和向上位机发送高速率、大容量的图像数据,可以应用在不同数据传输要求的CCD相机中。 展开更多
关键词 数据传输 USB camera link FPGA
下载PDF
上一页 1 2 8 下一页 到第
使用帮助 返回顶部