期刊文献+
共找到737篇文章
< 1 2 37 >
每页显示 20 50 100
基于一种改进CORDIC算法的MATH处理器设计与优化
1
作者 陈家敏 胡锦 《计算技术与自动化》 2024年第1期89-94,共6页
在精密的电机数控领域中,对三角函数运算的硬件架构性能指标日渐严格。针对传统CORDIR算法求解三角运算时存在迭代次数多、迭代周期长、输入角度范围小等局限性,提出了对其进行角度预处理、镜像迭代、角度补偿、区间换算以及合并迭代结... 在精密的电机数控领域中,对三角函数运算的硬件架构性能指标日渐严格。针对传统CORDIR算法求解三角运算时存在迭代次数多、迭代周期长、输入角度范围小等局限性,提出了对其进行角度预处理、镜像迭代、角度补偿、区间换算以及合并迭代结构等优化,并最终完成高精度计算三角函数的MATH处理器设计。在硬件实现上,本处理器在输入角度及坐标范围得到明显优化,计算速率显著倍增,且精度完全满足设计标准,适配于高精度电机驱动等应用领域。 展开更多
关键词 cordic算法 角度预处理 镜像迭代 合并迭代结构 电机驱动
下载PDF
基于CORDIC旋转器的基-3 FFT算法高效设计
2
作者 周群群 许思耀 +1 位作者 姚亚峰 付东兵 《电子器件》 CAS 北大核心 2023年第2期342-348,共7页
设计出一种可以用于FPGA高效实现的基-3 FFT算法,采用改进的三端前馈延迟转换器结构,优化了延迟和运算过程。针对蝶形运算中复数乘法器占据大量内存的问题,引入了CORDIC旋转器实现输入与旋转因子相乘的运算,可以降低乘法运算的复杂度,该... 设计出一种可以用于FPGA高效实现的基-3 FFT算法,采用改进的三端前馈延迟转换器结构,优化了延迟和运算过程。针对蝶形运算中复数乘法器占据大量内存的问题,引入了CORDIC旋转器实现输入与旋转因子相乘的运算,可以降低乘法运算的复杂度,该CORDIC旋转器采用改进的高基CORDIC算法,解决了传统的CORDIC算法迭代次数多、延迟大的问题,从而达到高吞吐率要求。该基-3 FFT算法以寻址变序、流水处理的方式,可以满足最高运行频率为404 MHz的FFT处理要求。与基于传统复数乘法器的基-3 FFT算法相比,基于CORDIC旋转器的基-3 FFT算法使功耗平均减少了22%,使总延迟平均减少了29%。 展开更多
关键词 基-3 FFT算法 三端前馈延迟转换器 正则有符号数 cordic旋转器 FPGA实现
下载PDF
一种改进的CORDIC实时生成加权系数方法
3
作者 杜俊桥 夏伟杰 孙璟 《声学技术》 CSCD 北大核心 2023年第5期661-668,共8页
基于现场可编程门阵列实现多波束声呐频域波束形成算法时,通常需要使用坐标旋转数字计算(Coordinate Rotation Digital Computer,CORDIC)方法实时生成加权系数,但波束数较多且为宽带信号时会消耗大量硬件资源。文章提出一种改进的基于CO... 基于现场可编程门阵列实现多波束声呐频域波束形成算法时,通常需要使用坐标旋转数字计算(Coordinate Rotation Digital Computer,CORDIC)方法实时生成加权系数,但波束数较多且为宽带信号时会消耗大量硬件资源。文章提出一种改进的基于CORDIC方法实时生成加权系数的方法。通过频点复用处理,多个波束组可共用同一个CORDIC IP核依次实时生成加权系数,降低了87.5%的CORDIC IP核资源消耗;通过引入二维乒乓、用后即抛思想,对存储加权系数的随机存取存储器(Random Access Memory,RAM)基于波束和频点复用进行乒乓处理,可降低97.9%的RAM系数存储空间占用。该改进方法通过多维度复用处理,占用少量RAM存储空间来节省大量CORDIC IP核资源,均衡了RAM和CORDIC IP核资源的消耗,具有很好的工程应用价值。 展开更多
关键词 cordic IP核现场可编程门阵列 加权系数 实时 复用 硬件资源
下载PDF
一种满刻度无溢出的圆周旋转CORDIC算法
4
作者 刘立业 伍小保 《舰船电子对抗》 2023年第1期105-108,共4页
CORDIC算法是一种经典的算法,在其工程实现中,有限的级数和处理位数可能会发生溢出,导致输出结果产生误差甚至符号位翻转。以圆周旋转CORDIC算法为例提出一种算法,在每级CORDIC运算之后,通过构建当前角度跟踪理论角度值对本级输出的余... CORDIC算法是一种经典的算法,在其工程实现中,有限的级数和处理位数可能会发生溢出,导致输出结果产生误差甚至符号位翻转。以圆周旋转CORDIC算法为例提出一种算法,在每级CORDIC运算之后,通过构建当前角度跟踪理论角度值对本级输出的余弦和正弦值逐级进行修正,并在最后一级根据输入相位初值对输出结果进行修正。经过仿真验证,本方法能够解决每级的溢出问题,输出结果能完整覆盖-1~1的赋值区间,并和相位初值的理论cos/sin值保持一致,实现高精度、满刻度输出。 展开更多
关键词 cordic算法 当前角度 满刻度 无溢出
下载PDF
改进CORDIC算法实现及其在边缘检测中的应用
5
作者 吴昊 刘楠 +2 位作者 丁朋 茹占强 宋贺伦 《电子测量技术》 北大核心 2023年第16期148-157,共10页
针对图像处理中的超越函数的计算,对传统的CORDIC算法进行研究改进,设计并实现了定点、浮点计算的硬件单元。提出两种CORDIC算法迭代的微旋转角度,扩展了函数计算的定义域,并采用角度编码的方式减少了三角函数计算的迭代次数。可在向量... 针对图像处理中的超越函数的计算,对传统的CORDIC算法进行研究改进,设计并实现了定点、浮点计算的硬件单元。提出两种CORDIC算法迭代的微旋转角度,扩展了函数计算的定义域,并采用角度编码的方式减少了三角函数计算的迭代次数。可在向量模式下实现反正切、开方以及旋转模式下正弦、余弦这4种超越函数的计算。定点、浮点单元均采用流水线的结构设计,可通过模式配置选择计算的函数。浮点单元采用IEEE-754单精度浮点数的格式,数据通路包括对阶、迭代、规格化,以24个时钟周期完成一次浮点数的计算。编写SystemVerilog平台的验证,定点计算精度最差为10^(-3),浮点计算误差为10^(-7),并在FPGA上进行板级验证,32 bit定点数计算最大工作频率可达243.9 MHz,相比传统的CORDIC算法占用的资源更小。将改进的定点CORDIC算法应用于图像Sobel边缘检测,边缘更加清晰,成像速度更快,并搭建FPGA图像数据采集、处理与显示系统,完成算法处理的实际验证。 展开更多
关键词 坐标旋转数字计算机算法 超越函数计算 现场可编程门阵列 边缘检测
下载PDF
基于Cordic算法的对数运算FPGA设计与实现
6
作者 张辉 凌元 孙健 《信息记录材料》 2023年第6期116-118,共3页
对数运算可用于处理复杂的函数表达式,减少计算量,提升计算效率,被广泛应用于粒子滤波、RBF神经网络、图像处理、信号处理等领域,在FPGA中设计实现高效可靠的对数运算函数具有重要意义。本文首先介绍了Cordic算法基本原理,对基于Cordic... 对数运算可用于处理复杂的函数表达式,减少计算量,提升计算效率,被广泛应用于粒子滤波、RBF神经网络、图像处理、信号处理等领域,在FPGA中设计实现高效可靠的对数运算函数具有重要意义。本文首先介绍了Cordic算法基本原理,对基于Cordic算法的对数运算公式进行了数学推导,并根据计算公式设计了适合硬件实现的工程算法流程,最后在FPGA中实现上板测试,测试结果表明设计的对数运算计算精度可达10-5量级,可以满足大部分实时系统需求。 展开更多
关键词 cordic算法 双曲系统 对数运算 现场可编程门阵列(FPGA)
下载PDF
高精度低消耗CORDIC算法设计
7
作者 姚亚峰 杨金岷 +1 位作者 周群群 付东兵 《湖南大学学报(自然科学版)》 EI CAS CSCD 北大核心 2023年第12期69-75,共7页
针对CORDIC算法存在硬件资源消耗大、输出精度低等问题,提出一种基于区间合并迭代的改进CORDIC算法.算法在两段式CORDIC算法的基础上,采用区间合并迭代来完成第二阶段的合并迭代运算.针对合并迭代中移位运算产生的截位误差,区间合并迭... 针对CORDIC算法存在硬件资源消耗大、输出精度低等问题,提出一种基于区间合并迭代的改进CORDIC算法.算法在两段式CORDIC算法的基础上,采用区间合并迭代来完成第二阶段的合并迭代运算.针对合并迭代中移位运算产生的截位误差,区间合并迭代通过减少数据移位的大小和次数来减少在合并迭代过程中产生的数据误差和资源消耗.仿真结果表明,改进CORDIC算法不仅保留了两段式算法在低时延上的良好特性,在寄存器消耗上也相比基本算法减少36.8%,相比三段式和两段式算法分别减少14.8%和9.5%.当给定16 bit的输出位宽时,改进算法的平均误差相比基本算法降低37.0%,相比三段式和两段式算法分别降低19.4%和24.5%,因此更适用于高速、高精度、低消耗的现代数字通信. 展开更多
关键词 坐标旋转计算机 角度二极化重编码 区间合并迭代 数字信号处理
下载PDF
基于改进CORDIC算法实现高速直接数字频率合成器 被引量:22
8
作者 万书芹 陈宛峰 +2 位作者 黄嵩人 季惠才 于宗光 《仪器仪表学报》 EI CAS CSCD 北大核心 2010年第11期2586-2591,共6页
设计实现了一种高速直接数字频率合成器。利用混合CORDIC算法的思想,用混合角度集代替传统正切角度集,并讨论了在二进制格式下的中间值,采用改进的混合差分CORDIC算法实现了相位幅度的转换。在确保算法的迭代精度和收敛区间的前提下,避... 设计实现了一种高速直接数字频率合成器。利用混合CORDIC算法的思想,用混合角度集代替传统正切角度集,并讨论了在二进制格式下的中间值,采用改进的混合差分CORDIC算法实现了相位幅度的转换。在确保算法的迭代精度和收敛区间的前提下,避免了传统算法中旋转方向依赖于上一次迭代的现象,提高了数据的吞吐量;同时消除了常用冗余算法引进额外电路的情况。分析了采用CORDIC算法所带来的误差,综合考虑精度和电路复杂度,确定字长和迭代次数获得14位的输出有效位。经0.18μm6M2P CMOS工艺流片,在1GHz的工作频率下,输出信号在98.6MHz处,SFDR为68.39dB,整个芯片面积为4.19mm×3.17mm。 展开更多
关键词 直接数字频率合成器 cordic算法 差分cordic 混合角度集
下载PDF
基于CORDIC算法的数字控制振荡器设计与实现
9
作者 王睿 肖顺文 +1 位作者 雷小英 吴静瑜 《太原师范学院学报(自然科学版)》 2023年第3期59-62,68,共5页
针对传统查表法占用ROM容量较高的不足,基于CORDIC算法代替ROM设计一种速度快、精度高、节约资源的数字控制振荡器的目的.采用了对CORDIC算法进行旋转迭代变换,对CORDIC算法进行角度预处理和FPGA流水线结构实现CORDIC算法的方法.通过Qur... 针对传统查表法占用ROM容量较高的不足,基于CORDIC算法代替ROM设计一种速度快、精度高、节约资源的数字控制振荡器的目的.采用了对CORDIC算法进行旋转迭代变换,对CORDIC算法进行角度预处理和FPGA流水线结构实现CORDIC算法的方法.通过QuratusⅡ和ModelSim软件的仿真测试,得出了数字控制振荡器的正交信号、幅值以及相角,即在16级流水线结构下,计数器和角度预处理正确运行,得到了完整的0~360°循环的正、余弦波形. 展开更多
关键词 数字控制振荡器 cordic算法 FPGA 软件无线电
下载PDF
基于CORDIC算法的中频多路控守系统设计
10
作者 龚永龙 杨青华 蔡怀海 《电子产品世界》 2023年第1期60-62,共3页
针对无线接收技术向着大带宽、高采样的方向发展,军地的频谱管理设备已经不能满足日常的训练和执法需求,本文提出了一种基于CORDIC算法的中频多路控守系统设计方法,直接采集超外差接收机的中频输出信号,从而实现多达32路或者64路的窄带... 针对无线接收技术向着大带宽、高采样的方向发展,军地的频谱管理设备已经不能满足日常的训练和执法需求,本文提出了一种基于CORDIC算法的中频多路控守系统设计方法,直接采集超外差接收机的中频输出信号,从而实现多达32路或者64路的窄带信号提取、存储、分析、回放等。作为事后分析取证等,弥补当前设备的不足。文中介绍了CORDIC的基本原理,核心实现基于CORDIC算法的nco和子带变频器,并在Xilinx平台上验证成功。 展开更多
关键词 cordic 软件无线电 NCO 数字下变频 信号分析
下载PDF
CORDIC算法在天际线识别中的应用
11
作者 李阳 于方春 程陶然 《电脑知识与技术》 2023年第20期129-131,共3页
天际线的自动识别对无人机飞行姿态校正具有重要的意义,而无人机的飞行姿态角直接影响到飞行视野中的天际线位置。文章基于飞行姿态角与天际线位置参数关系的理论基础,通过在FPGA中采用迭代旋转模型实现CORDIC算法并进行了全角度的仿真... 天际线的自动识别对无人机飞行姿态校正具有重要的意义,而无人机的飞行姿态角直接影响到飞行视野中的天际线位置。文章基于飞行姿态角与天际线位置参数关系的理论基础,通过在FPGA中采用迭代旋转模型实现CORDIC算法并进行了全角度的仿真验证,使用该算法解算飞行姿态角的三角函数值,进而计算图像数据中的天际线位置参数,实现天际线位置识别。最后文章结合实际的航拍照片及相关数据,对该方法进行了验证。 展开更多
关键词 天际线识别 cordic 姿态角 FPGA
下载PDF
基于旋转模式的改进型CORDIC算法 被引量:3
12
作者 张天瑜 《信息化纵横》 2009年第9期53-57,共5页
传统CORDIC算法需要通过查找表和许多乘法器才能实现多种超越函数的计算,这会导致硬件电路实现复杂,运算速度降低,此外它能够计算的角度范围也有限。针对传统CORDIC算法的缺陷,在旋转模式下提出一种改进型CORDIC算法,它不需要查找表和... 传统CORDIC算法需要通过查找表和许多乘法器才能实现多种超越函数的计算,这会导致硬件电路实现复杂,运算速度降低,此外它能够计算的角度范围也有限。针对传统CORDIC算法的缺陷,在旋转模式下提出一种改进型CORDIC算法,它不需要查找表和模校正因子,只需通过简单的移位和加减运算就能实现多种超越函数的计算,从而能够减少硬件的开销,提高运算的性能,并通过重复迭代和区域变换使得该算法能够适用于所有的旋转角度。误差分析表明该算法具有很小的误差。 展开更多
关键词 cordic算法 旋转模式 查找表 模校正因子 流水线结构 改进型cordic算法
下载PDF
高精度低时延CORDIC算法
13
作者 揭灿 朱晓宇 赵霁 《现代电子技术》 2023年第7期171-175,共5页
针对目前流水线型坐标旋转数字计算机(CORDIC)算法存在输出精度较低、输出时延较长的问题,提出一种基于移位相加结构的CORDIC算法。此算法首先对[0,π4)内的输入角度采用角度二极化重编码技术,将角度二进制编码转化为1和-1编码,然后使... 针对目前流水线型坐标旋转数字计算机(CORDIC)算法存在输出精度较低、输出时延较长的问题,提出一种基于移位相加结构的CORDIC算法。此算法首先对[0,π4)内的输入角度采用角度二极化重编码技术,将角度二进制编码转化为1和-1编码,然后使用移位相加结构替代查找表,同时通过合并迭代结构合并旋转迭代,减少迭代单元级数和迭代次数,降低硬件资源的消耗,建立小容量正余弦值ROM表,降低接近于π2时部分输入角度的运算误差,最后结合角度区间映射手段保证算法运算范围覆盖整个圆周[0,2π)。在Xilinx公司KC705评估套件上进行算法验证与仿真,结果表明:在输出位宽都设定为16位的条件下,运算结果的绝对误差和相对误差相比流水线型CORDIC算法分别降低了46.7%,83.5%,该算法只需6个时钟周期即可输出计算结果,输出时延减少了60.0%。设计的CORDIC算法具有输出精度高、输出时延短的优势,适用于实时、高精度的现代通信系统。 展开更多
关键词 坐标旋转数字计算机 角度二极化重编码 移位相加 合并迭代 角度区间映射 数字信号处理
下载PDF
CORDIC算法的优化及实现 被引量:22
14
作者 刘小宁 谢宜壮 +2 位作者 陈禾 闫雯 陈冬 《北京理工大学学报》 EI CAS CSCD 北大核心 2015年第11期1164-1170,共7页
为提高坐标旋转数字计算(CORDIC)算法的精度并降低硬件资源消耗,对CORDIC算法收敛性以及旋转序列的选取进行了研究.针对圆周系统下CORDIC算法的角度覆盖范围、硬件资源和运算精度等问题提出了进一步的优化措施.利用经过优化后的CORDIC算... 为提高坐标旋转数字计算(CORDIC)算法的精度并降低硬件资源消耗,对CORDIC算法收敛性以及旋转序列的选取进行了研究.针对圆周系统下CORDIC算法的角度覆盖范围、硬件资源和运算精度等问题提出了进一步的优化措施.利用经过优化后的CORDIC算法,在FPGA中实现了流水线结构的正余弦函数和反正切函数,并把运算精度与硬件资源消耗与Xilinx IP核进行了比较.比较结果表明该优化算法在提高运算精度的同时能够有效降低硬件资源消耗. 展开更多
关键词 cordic算法 三角函数 精度 硬件资源 FPGA
下载PDF
免缩放因子双步旋转CORDIC算法 被引量:19
15
作者 徐成 秦云川 +1 位作者 李肯立 戚芳芳 《电子学报》 EI CAS CSCD 北大核心 2014年第7期1441-1445,共5页
集成电路设计中经常使用CORDIC算法实现高效的向量旋转操作.当前对该算法的研究热点集中在减少该算法的迭代次数、扩展其收敛范围以及降低缩放因子补偿操作的代价等问题上.本文提出免缩放因子的双步旋转CORDIC算法使用双步旋转策略,减... 集成电路设计中经常使用CORDIC算法实现高效的向量旋转操作.当前对该算法的研究热点集中在减少该算法的迭代次数、扩展其收敛范围以及降低缩放因子补偿操作的代价等问题上.本文提出免缩放因子的双步旋转CORDIC算法使用双步旋转策略,减少了免缩放因子CORDIC算法的迭代次数,将收敛区间扩展到了整个圆周区间.实验结果表明,该算法保持高计算精度的同时减少了迭代次数和面积消耗. 展开更多
关键词 双步旋转 cordic算法 区间折叠
下载PDF
基于改进混合式CORDIC算法的直接数字频率合成器设计 被引量:17
16
作者 张晓彤 辛茹 +1 位作者 王沁 李涵 《电子学报》 EI CAS CSCD 北大核心 2008年第6期1144-1148,共5页
提出一种新的面积优化的直接数字频率合成器设计方案.采用改进混合式CORDIC算法,通过削减旋转相位判断电路和乘法单元,改进和调整相位旋转误差,并利用简单的移位和加/减电路完成复杂的幅度修正,降低了电路复杂度,缩减了电路规模.结构上... 提出一种新的面积优化的直接数字频率合成器设计方案.采用改进混合式CORDIC算法,通过削减旋转相位判断电路和乘法单元,改进和调整相位旋转误差,并利用简单的移位和加/减电路完成复杂的幅度修正,降低了电路复杂度,缩减了电路规模.结构上采用流水线式多级循环迭代技术,实现移位和加/减电路的高度复用.实验结果表明本方法输出频谱杂散小于-70dB,并在运算速度和资源利用率上具有一定的优势.该设计已成功用于宽带网络SoC芯片的频率调制模块. 展开更多
关键词 直接数字频率合成器 混合式cordic 面积优化 多级循环迭代
下载PDF
CORDIC算法在光栅莫尔条纹细分中的应用 被引量:10
17
作者 卢少武 唐小琦 +1 位作者 马泽龙 邹腾跃 《自动化仪表》 CAS 北大核心 2010年第5期23-25,共3页
目前光栅莫尔条纹细分技术在数控机床、超精加工、精密仪器等领域得到了广泛的应用。考虑到光栅细分系统的精度、速度和抗干扰能力等多方面指标,提出了一种新的莫尔条纹细分技术,并通过CORDIC算法对不足一个周期的正弦信号进行细分,直... 目前光栅莫尔条纹细分技术在数控机床、超精加工、精密仪器等领域得到了广泛的应用。考虑到光栅细分系统的精度、速度和抗干扰能力等多方面指标,提出了一种新的莫尔条纹细分技术,并通过CORDIC算法对不足一个周期的正弦信号进行细分,直接提取相位信息。光栅细分系统将CORDIC算法应用于FPGA中,能够对莫尔信号进行很好的细分处理,满足高精度的要求,实验结果验证了其正确性及可行性。 展开更多
关键词 光栅 细分 精度 相位 cordic FPGA
下载PDF
基于CORDIC的一种高速实时定点FFT的FPGA实现 被引量:16
18
作者 李成诗 初建朋 +5 位作者 李新兵 韩芳 蒋菱 赖宗声 徐晨 景为平 《微电子学与计算机》 CSCD 北大核心 2004年第4期88-91,96,共5页
本文论述了一种利用CORDIC算法在FPGA上实现高速实时定点FFT的设计方案。利用CORDIC算法来实现复数乘法,与使用乘法器相比降低了系统的资源占用率,提高了系统速度[1]。设计基于基4时序抽取FFT算法,采用双端口内置RAM和流水线串行工作方... 本文论述了一种利用CORDIC算法在FPGA上实现高速实时定点FFT的设计方案。利用CORDIC算法来实现复数乘法,与使用乘法器相比降低了系统的资源占用率,提高了系统速度[1]。设计基于基4时序抽取FFT算法,采用双端口内置RAM和流水线串行工作方式。本设计针对256点、24位长数据进行运算,在XilnxSpartan2E系列的xc2s300e器件下载验证通过,完成一次运算约为12μs,可运用于高速DSP、数字签名算法等对速度要求高的领域。 展开更多
关键词 FF FPGA cordic算法
下载PDF
基于CORDIC的精确快速幅相解算方法 被引量:8
19
作者 孙悦 王传伟 +2 位作者 康龙飞 叶超 张信 《电子学报》 EI CAS CSCD 北大核心 2018年第12期2978-2984,共7页
针对传统CORDIC算法进行高精度幅度相位解算时迭代次数过多、时延较长、相位收敛较慢等局限,提出了一种基于最佳一致逼近方法的幅度与相位补偿算法,即利用传统CORDIC算法迭代一定次数后得到的向量信息,采用最佳一致逼近方法对幅度和相... 针对传统CORDIC算法进行高精度幅度相位解算时迭代次数过多、时延较长、相位收敛较慢等局限,提出了一种基于最佳一致逼近方法的幅度与相位补偿算法,即利用传统CORDIC算法迭代一定次数后得到的向量信息,采用最佳一致逼近方法对幅度和相位分区间进行一阶多项式补偿,有效提高了计算精度.仿真及实测结果表明,对传统CORDIC算法4次迭代后的结果进行补偿,幅度相对误差可达到10-5量级、相位绝对误差可达到10-5度量级,最大输出时延不大于100ns.在使用部分专用乘法器的条件下,寄存器消耗降低了42. 5%,查找表消耗降低了15. 5%.采用该补偿算法,每多一次CORDIC迭代其相位精度可提高约一个数量级.因此,本文提出的补偿CORDIC算法在迭代次数、计算精度等方面优于传统CORDIC算法,适合于高精度计算的场合. 展开更多
关键词 cordic 相位补偿 最佳一致逼近 FPGA
下载PDF
CORDIC算法在正余弦函数中的应用及其FPGA实现 被引量:15
20
作者 常柯阳 曾岳南 +1 位作者 陈平 覃曾攀 《计算机工程与应用》 CSCD 2013年第7期140-143,共4页
正余弦函数在工程实现中应用很广泛。常用的查找表方法实现简单,但占用存储器资源较多,计算精度与存储容量的矛盾比较突出;传统的CORDIC(坐标旋转数字计算)方法虽占用存储资源少,但硬件资源消耗大,且输出时延长。鉴于此,提出一种改进型... 正余弦函数在工程实现中应用很广泛。常用的查找表方法实现简单,但占用存储器资源较多,计算精度与存储容量的矛盾比较突出;传统的CORDIC(坐标旋转数字计算)方法虽占用存储资源少,但硬件资源消耗大,且输出时延长。鉴于此,提出一种改进型的CORDIC算法,将查找表和CORDIC算法相结合,完成了该算法的设计仿真和基于FPGA的硬件测试;结果表明该算法能够利用少量硬件资源和部分存储资源,实现较高的计算精度和较低的输出时延。 展开更多
关键词 cordic算法 查找表 正余弦函数 现场可编程逻辑器件(FPGA)
下载PDF
上一页 1 2 37 下一页 到第
使用帮助 返回顶部