期刊文献+
共找到2,261篇文章
< 1 2 114 >
每页显示 20 50 100
一种基于芯片片内总线的DMA控制器芯片的设计与验证
1
作者 苏兴 刘威 《电子技术应用》 2024年第1期41-44,共4页
通过对片内总线的研究和理解,为最大化发挥总线优势,将直接存储访问技术和ICB总线结合,设计了一种基于ICB总线的DMA控制器。通过软件仿真验证了该控制器的逻辑功能,仿真结果表明其能够正常稳定运行。
关键词 ICB总线 dma SOC
下载PDF
基于AMBA总线协议的多请求DMAC设计及UVM验证
2
作者 蔡挺 王仁平 卢朝辉 《电子制作》 2024年第1期3-7,共5页
该文章提出一种新的传输技术--基于AMBA(Advanced Microcontroller Bus Architecture)总线的多请求DMAC(Direct Memory Access Controller)设计方法。该DMAC支持CPU通过APB总线来进行寄存器配置,通过AHB总线进行内存数据搬运,支持跨时... 该文章提出一种新的传输技术--基于AMBA(Advanced Microcontroller Bus Architecture)总线的多请求DMAC(Direct Memory Access Controller)设计方法。该DMAC支持CPU通过APB总线来进行寄存器配置,通过AHB总线进行内存数据搬运,支持跨时钟域传输,支持链表传输模式,提高了DMAC系统的通用性,采用了内部多套请求寄存器以及内置轮询权重仲裁器的方式实现了多请求轮询传输模式,提高了DMA系统在面对多个传输请求时的灵活性,通过对比正常传输模式节省大量传输时间,实现了49%的效率提升。设计基于UVM(Universal Verification Methodology)验证平台,提出通用scoreboard设计方法快速定位DMA传输过程中数据比对出错位置,实现100%功能覆盖率。 展开更多
关键词 SOC dma AMBA 轮询仲裁 UVM
下载PDF
一种可暂停的低功耗DMA控制器设计及验证
3
作者 苏皇滨 林伟 林伟峰 《电子与封装》 2024年第3期69-74,共6页
通过分析直接内存存取(DMA)控制器的工作原理和主要功耗来源,发现其在空闲状态时依然存在功耗较高的问题,为了解决空闲状态功耗损失问题以及满足DMA控制器实际传输过程中可能出现的暂停需求,提出了一种可暂停的低功耗DMA控制器设计方案... 通过分析直接内存存取(DMA)控制器的工作原理和主要功耗来源,发现其在空闲状态时依然存在功耗较高的问题,为了解决空闲状态功耗损失问题以及满足DMA控制器实际传输过程中可能出现的暂停需求,提出了一种可暂停的低功耗DMA控制器设计方案。采用自适应时钟控制机制,通过加入时钟门控技术,根据DMA数据传输需求动态调整时钟,使DMA引擎模块功耗降低了62%。针对暂停需求,采用了一种可暂停的控制策略,通过加入暂停指令,实现对DMA传输的实时暂停和恢复,提高了DMA控制器的灵活性。为了保证DMA控制器功能的正确性和完备性,采用基于覆盖率驱动验证(CDV)的验证策略,划分DMA控制器的功能点,针对每个功能点编写测试用例,搭建通用验证方法学(UVM)仿真验证平台,进行大量随机测试和定向测试,给出了测试的结果以及完整的覆盖率分析结果。 展开更多
关键词 dma控制器 低功耗设计 暂停指令 时钟门控技术 覆盖率驱动验证 通用验证方法学
下载PDF
DMA分区模式在城乡供水一体化建设中的运用分析
4
作者 张一帆 《低碳世界》 2024年第5期136-138,共3页
为解决城乡供水一体化建设中的管网漏损问题,实现对城乡供水的精细化管理,尝试将区域供水计量区(district metered area, DMA)分区模式应用到供水一体化建设,依据相关标准,实施城乡供水统筹布局,安装建设分区计量监测系统,以确保水资源... 为解决城乡供水一体化建设中的管网漏损问题,实现对城乡供水的精细化管理,尝试将区域供水计量区(district metered area, DMA)分区模式应用到供水一体化建设,依据相关标准,实施城乡供水统筹布局,安装建设分区计量监测系统,以确保水资源的利用效率。通过DMA分区,对分区供水进行集成处理,使供水系统实现统一管理、统一监控,提高了水资源的利用效率,有助于解决供水系统中的水压、水质、漏水等问题。 展开更多
关键词 dma分区 城乡供水一体化 供水管理 供水计量
下载PDF
基于PCIeDMA缓冲池的流量控制协议
5
作者 黄双双 郝一太 罗伟杰 《通信电源技术》 2024年第3期16-18,共3页
随着航空电子的发展,机载计算机对通信性能提出了更高的要求。PCIe总线的直接存储器存取(Direct Memory Access,DMA)通信方式凭借高吞吐量、低时延及低中央处理器(Central Processing Unit,CPU)占用率等优势,广泛应用于嵌入式计算机通... 随着航空电子的发展,机载计算机对通信性能提出了更高的要求。PCIe总线的直接存储器存取(Direct Memory Access,DMA)通信方式凭借高吞吐量、低时延及低中央处理器(Central Processing Unit,CPU)占用率等优势,广泛应用于嵌入式计算机通信。在复杂网络拓扑的PCIe架构中,由于通信节点接收数据和发送数据的协议差异或中间介质差异,导致入向流量和出向流量速率不匹配,造成数据缺失。因此,文章设计了一种基于PCIeDMA缓冲池的流量控制协议,以控制模块间的通信流量。利用多级缓冲技术,在节点中配置环形缓冲池,可回收利用总线空间。利用同步互斥技术为高速数据转发争取时间,避免了多节点设备转发数据出现滞后性和数据缺失问题。 展开更多
关键词 PCIE 直接存储器存取(dma) 多级缓冲 同步互斥
下载PDF
多通道DMA传输测控信号方法 被引量:1
6
作者 朱道山 《单片机与嵌入式系统应用》 2023年第4期70-72,共3页
研究了现有测控系统ZYNQ平台中数据传输的两种主要方式,提出了一种全新的多通道DMA传输方法。该方法采用虚通道设计思路,以多通道连续DMA存储+一次性遍历方式,有效减少了ZYNQ平台中PS单元参与数据搬移的过程,大幅提高了数据收发两端的... 研究了现有测控系统ZYNQ平台中数据传输的两种主要方式,提出了一种全新的多通道DMA传输方法。该方法采用虚通道设计思路,以多通道连续DMA存储+一次性遍历方式,有效减少了ZYNQ平台中PS单元参与数据搬移的过程,大幅提高了数据收发两端的存储能力,可用于数据量大或实时性要求高的应用场景中。 展开更多
关键词 虚通道 多通道 dma ZYNQ
下载PDF
中国系统性金融风险与价格型货币政策传导效应研究——基于DMA-TVP-FAVAR模型和MS-VAR模型的实证分析 被引量:1
7
作者 吕政 刘丽萍 《贵州财经大学学报》 北大核心 2023年第5期12-21,共10页
监测系统性金融风险以及识别该风险对货币政策操作效果的影响,对于平衡稳增长与防风险具有重大现实价值。创新性地应用DMA-TVP-FAVAR模型从动态视角搭建中国系统性金融风险指数,并借助MS-VAR模型评估金融风险对价格型货币政策产出效应... 监测系统性金融风险以及识别该风险对货币政策操作效果的影响,对于平衡稳增长与防风险具有重大现实价值。创新性地应用DMA-TVP-FAVAR模型从动态视角搭建中国系统性金融风险指数,并借助MS-VAR模型评估金融风险对价格型货币政策产出效应、价格效应的非线性影响。研究发现:货币市场在中国金融体系中具有重要地位,防范金融风险离不开货币市场平稳运行,银行业、股票市场、房地产业、外汇市场在中国系统性金融风险指数中虽占比有限,但相对重要性上升。中国系统性金融风险具有明显的两区制特征,并且维持高风险区制的持续性更强。在系统性金融风险作用下,价格型工具的操作效果呈现非对称性,金融风险的存在大幅削弱了货币政策有效性,为实现宏观调控目标,中央银行有必要加大货币政策操作力度。研究工作有助于建立起具有中国特色的系统性金融风险应对机制。 展开更多
关键词 系统性金融风险 货币政策 非对称 dma-TVP-FAVAR MS-VAR
下载PDF
一种高性能多通道通用DMA设计与实现 被引量:1
8
作者 李世平 张洪昱 +2 位作者 符青 周海斌 张同新 《机电信息》 2023年第7期46-48,共3页
为充分发挥异构多核DSP芯片的实时计算能力,设计并实现了一种高性能多通道的通用DMA,该DMA最大支持64个通道的数据搬运,并支持一维、二维、转置以及级联描述符等多种传输模式。芯片实测传输性能最高可达11.7 GB/s,实现了高效率、高性能... 为充分发挥异构多核DSP芯片的实时计算能力,设计并实现了一种高性能多通道的通用DMA,该DMA最大支持64个通道的数据搬运,并支持一维、二维、转置以及级联描述符等多种传输模式。芯片实测传输性能最高可达11.7 GB/s,实现了高效率、高性能的数据供给。 展开更多
关键词 多通道 dma 设计 测试
下载PDF
基于STM32的DMA共性技术分析及应用
9
作者 奚圣鑫 王宜怀 李跃华 《计算机应用与软件》 北大核心 2023年第7期250-253,共4页
数据传输是微控制器运行中必不可缺少的操作,CPU绝大部分时间也都花费在数据的搬运上。直接存储器访问(Direct Memory Access,DMA)是大多数处理器中提高数据传输速度的方式之一。在分析了多种微控制器芯片中DMA控制器工作原理的基础上,... 数据传输是微控制器运行中必不可缺少的操作,CPU绝大部分时间也都花费在数据的搬运上。直接存储器访问(Direct Memory Access,DMA)是大多数处理器中提高数据传输速度的方式之一。在分析了多种微控制器芯片中DMA控制器工作原理的基础上,总结出其共性技术,从而提出一种基于STM32L431RC芯片的基础构件封装方式,并将其与UART串口相结合应用到实践中。实践证明,DMA具有传输速度快、准确率高等优点,同时也提高了CPU的工作效率。 展开更多
关键词 dma 共性技术 构件封装 STM32L431RC
下载PDF
基于PCIE总线架构的DMA控制器设计
10
作者 张航 《集成电路应用》 2023年第12期23-24,共2页
阐述一种适用于PCIE硬件加速卡结构的DMA控制器的设计,该控制器支持由流式数据传输向地址数据传输的转换,它由PC端配置启动,通过读取主机内存中的描述符链表来主动发起分散数据块的连续传输,提供复数的双向DMA传输通道。
关键词 集成电路设计 PCIE总线 dma控制器
下载PDF
信息交换中的DMA技术
11
作者 周文刚 李慧华 《周口师范学院学报》 CAS 2002年第5期56-60,共5页
DMA技术广泛应用于外围设备与内存成批信息交换的控制过程中 ,它的优势是在数据传送过程中不需CPU的参与 ,实现了CPU处理任务和内存数据交换的并行进行 ,提高了计算机的整体性能 .本文从DMA技术的硬件构成角度 。
关键词 dma技术 dma控制器 dmaC 数据交换 数据传送 I/O设备 信息交换
下载PDF
基于AXI总线复用的DMA数据传输结构设计
12
作者 阮翔 任涛 +1 位作者 毛佳佳 张虎 《电子技术应用》 2023年第8期125-129,共5页
常规多通道DMA数据传输结构应用在多传感器接入式人工智能平台时,随着传感器类型和数量的增加,在通道协议转换、AXI总线扩展过程中会消耗大量的FPGA逻辑和存储资源,容易产生逻辑拥塞,增加工具布线难度。与此同时,封闭式的AXI系统缺乏对... 常规多通道DMA数据传输结构应用在多传感器接入式人工智能平台时,随着传感器类型和数量的增加,在通道协议转换、AXI总线扩展过程中会消耗大量的FPGA逻辑和存储资源,容易产生逻辑拥塞,增加工具布线难度。与此同时,封闭式的AXI系统缺乏对通道差异控制的灵活性,难以适应人工智能平台多模式数据传输需求。因此,设计了一种AXI总线复用方式的DMA数据传输结构,该设计可以极大地缩减AXI总线数量,降低FPGA资源消耗和工具布线用时,方便地引入附加逻辑实现多模式DMA数据传输,为人工智能平台提供灵活高效的多源数据获取机制。 展开更多
关键词 通道 dma 传输 AXI FPGA
下载PDF
北方某市DMA分区建设方法与实施 被引量:1
13
作者 孙广垠 张伟倩 +1 位作者 蒋丽云 徐秋红 《城镇供水》 2023年第1期31-37,共7页
为有效控制管网漏损,本文以宁夏中卫市沙坡头供水管网为例,首先介绍了建立稳态水力模型的基本方法;对城市供水管网进行了精细化DMA分区管理,依据地理结构和供水格局先对供水管网进行一级分区,然后根据管网实际管线布置和可实施性进一步... 为有效控制管网漏损,本文以宁夏中卫市沙坡头供水管网为例,首先介绍了建立稳态水力模型的基本方法;对城市供水管网进行了精细化DMA分区管理,依据地理结构和供水格局先对供水管网进行一级分区,然后根据管网实际管线布置和可实施性进一步对管网进行二级分区;根据DMA分区结果和实际需求安装新的流量监测点,并建立了沙坡头区供水安全与节水全程监控管理平台,该平台可实时监控各分区水量的变化,统计各个分区的漏损情况,以便及时定位漏损区域,采取措施降低管网漏损,为供水企业带来很大的经济效益和环境效益。 展开更多
关键词 dma分区 水力模型 实施应用
下载PDF
PCIe总线DMA高速传输系统的设计与实现
14
作者 刘佳宁 单伟 刘金鹏 《电子技术应用》 2023年第12期85-89,共5页
针对数据传输系统中外设带宽受限、有效带宽低的问题,设计了一种PCIe总线的DMA高速传输系统。以FPGA为控制核心,采用PIO操作与DMA操作分时组合的控制模式实现全双工DMA读写通道的传输层协议。PIO操作配合中断实现指令与状态实时收发,DM... 针对数据传输系统中外设带宽受限、有效带宽低的问题,设计了一种PCIe总线的DMA高速传输系统。以FPGA为控制核心,采用PIO操作与DMA操作分时组合的控制模式实现全双工DMA读写通道的传输层协议。PIO操作配合中断实现指令与状态实时收发,DMA模块设计了一种两段式切片的裁剪机制实现PCIe协议的传输长度控制,发送模块设计请求仲裁逻辑实现请求事务的优先级仲裁,接收模块采用本地缓存TAG标号的方法实现请求回应的实时管理并解决回应乱序问题。最后通过时钟计数的方法测试传输速度,分析了影响读写传输速度的制约因素。经验证,DMA写操作有效带宽已经达到75%,非常接近80%的理论极限,DMA读操作也达到了60%。本设计解决了高速数据传输系统中的带受限宽问题,具有一定的工程应用价值。 展开更多
关键词 PCIe总线 dma 两段式切片 请求仲裁 回应乱序
下载PDF
舰船红外图像处理中多通道DMA控制器改进设计
15
作者 梁剑烽 梁家海 姜愉 《舰船科学技术》 北大核心 2023年第21期209-212,共4页
为了提升舰船红外图像处理效果,研究舰船红外图像处理中多通道DMA控制器改进设计方法。改进后多通道DMA控制器中仲裁器依据优先级轮询仲裁每个DMA通道,建立舰船红外图像读写传输通道;嵌入式Motion-JPEG2000编码器,通过建立的传输通道,... 为了提升舰船红外图像处理效果,研究舰船红外图像处理中多通道DMA控制器改进设计方法。改进后多通道DMA控制器中仲裁器依据优先级轮询仲裁每个DMA通道,建立舰船红外图像读写传输通道;嵌入式Motion-JPEG2000编码器,通过建立的传输通道,读入舰船红外图像,并利用结合小波变换与正交化分形编码的压缩算法,压缩编码图像,加快图像处理的传输效率。通过寄存器配置保存舰船红外图像处理时产生的配置信息;利用存储器端口向存储器端发送处理后的图像,通过外设端口负责向外设端发送处理后的图像。实验证明:该方法可有效压缩舰船红外图像;在不同目标比特率时,该方法压缩后图像的逼真度均较高;该方法改进后多通道DMA控制器的图像传输效率较快。 展开更多
关键词 舰船红外图像 多通道 dma控制器 编码器 小波变换 正交化分形编码
下载PDF
一种带DMA功能的I/O可配置I^(2)C总线接口的设计
16
作者 强小燕 王嘉瑶 邢梦菲 《电子技术应用》 2023年第11期69-72,共4页
为了利用DMA可以快速移动数据,不需要CPU任何操作来减轻MCU的工作量,并使得接口模块的每个引脚可以根据需求编程为I^(2)C引脚或通用输入输出引脚,介绍了一种带DMA功能的I/O可配置I2C总线接口设计。对I^(2)C总线的基本原理、I^(2)C模块... 为了利用DMA可以快速移动数据,不需要CPU任何操作来减轻MCU的工作量,并使得接口模块的每个引脚可以根据需求编程为I^(2)C引脚或通用输入输出引脚,介绍了一种带DMA功能的I/O可配置I2C总线接口设计。对I^(2)C总线的基本原理、I^(2)C模块总体结构、DMA功能和I/O可配置功能的设计实现进行了介绍。对该接口设计进行了功能仿真,分析表明实现了I^(2)C接口DMA功能和I/O可配置功能两种模式下的数据传输,完全满足设计要求。 展开更多
关键词 I^(2)C总线 dma功能 I/O可配置 接口设计
下载PDF
基于bit-map算法的DMA数据流仲裁器设计
17
作者 朱亚琦 侯晓娟 《单片机与嵌入式系统应用》 2023年第9期74-77,82,共5页
针对集成电路产业快速发展所需要的复杂通信要求,设计了一种基于bit-map算法的DMA数据流仲裁器。DMA数据流仲裁器由通道优先级bit-map映射模块、通道请求生成模块、通道请求仲裁模块、通道授权标志生成模块和外设请求应答模块组成。在... 针对集成电路产业快速发展所需要的复杂通信要求,设计了一种基于bit-map算法的DMA数据流仲裁器。DMA数据流仲裁器由通道优先级bit-map映射模块、通道请求生成模块、通道请求仲裁模块、通道授权标志生成模块和外设请求应答模块组成。在完成逻辑设计后,通过UVM验证方法学对电路的各个功能进行验证。验证结果表明,本设计仅使用一个32位bit-map映射寄存器将8条通道的32种优先级配置映射到对应有效位,便可实现对8条通道DMA请求的仲裁,无需配置优先级比较电路,不会因逐级比较产生优先级配置相互干扰的问题,且在后期生成实际电路的过程中可以减小电路面积、降低电路功耗。 展开更多
关键词 bit-map dma 数据流仲裁器 UVM
下载PDF
NiosⅡ系统中DMA控制器的原理及应用 被引量:4
18
作者 张显才 李向东 +1 位作者 丁国宁 王大众 《现代电子技术》 2012年第6期19-21,共3页
详细介绍了NiosⅡ系统中DMA控制器的基本原理,数据传输过程以及DMA控制器中各寄存器的作用。重点介绍了与DMA操作有关的API函数及其使用方法,并通过一个实例描述NiosⅡ系统中如何利用DMA方式将数据从SDRAM传输到串口。实践证明,在同等CP... 详细介绍了NiosⅡ系统中DMA控制器的基本原理,数据传输过程以及DMA控制器中各寄存器的作用。重点介绍了与DMA操作有关的API函数及其使用方法,并通过一个实例描述NiosⅡ系统中如何利用DMA方式将数据从SDRAM传输到串口。实践证明,在同等CPU开销的情况下,利用DMA技术可以将CPU从繁重的数据搬移操作中释放出来,从而提高CPU的数据处理能力。 展开更多
关键词 NiosⅡ dma控制器 dma技术 SDRAM
下载PDF
DMA分区在城市供水产销差信息化管理中的应用
19
作者 廖光伟 许荔娜 +2 位作者 张清 普娇艳 李鼎立 《市政技术》 2023年第11期158-164,共7页
近年来,在智慧城市的大背景下,智慧供水信息化得到了快速发展。通过GIS平台实现了供水管网的可视化展示,并有效集成了SCADA系统、抄表管理系统。在此基础上,研究分析了DMA分区计量系统在供水产销差中的应用,主要内容包括基于国际水协会... 近年来,在智慧城市的大背景下,智慧供水信息化得到了快速发展。通过GIS平台实现了供水管网的可视化展示,并有效集成了SCADA系统、抄表管理系统。在此基础上,研究分析了DMA分区计量系统在供水产销差中的应用,主要内容包括基于国际水协会水量平衡表的产销差分析方法与管控措施、区域已有漏损的分析与评价、区域夜间最小流量分析、区域监测数据关联分析等,提供了区域产销差监控、已有漏损探测、新增漏损预警定位解决方案,对降低供水管网漏损、提高经济效益、保障供水安全和补齐信息化建设短板具有重要意义。 展开更多
关键词 dma分区管理 产销差分析 信息化控制措施 存量漏损评估 新增漏损分析
下载PDF
支持高速DMA传输技术的SSD控制器设计与实现
20
作者 沈庆 杨楚玮 侯庆庆 《电子与封装》 2023年第12期51-56,共6页
在信息电子通信系统中,往往会有高带宽的数据传输及存储需求,尤其是嵌入式领域,其对数据存储的容量及传输速率要求越来越高。提出一种固态硬盘(SSD)控制器的设计方案,该方案采用多通道扩展方式来管理Flash阵列,具有一定的升级性和扩展... 在信息电子通信系统中,往往会有高带宽的数据传输及存储需求,尤其是嵌入式领域,其对数据存储的容量及传输速率要求越来越高。提出一种固态硬盘(SSD)控制器的设计方案,该方案采用多通道扩展方式来管理Flash阵列,具有一定的升级性和扩展性。同时根据SSD数据传输特性,实现了一种高速直接存储器访问(DMA)传输技术,有效提高了SSD数据传输过程中DMA的工作效率和CPU的资源利用率,使该SSD控制器能在-55~125℃的工业化环境中正常工作。 展开更多
关键词 多通道扩展 Flash阵列管理 dma传输
下载PDF
上一页 1 2 114 下一页 到第
使用帮助 返回顶部