期刊文献+
共找到538篇文章
< 1 2 27 >
每页显示 20 50 100
基于DSP、CPLD和单片机的高速数据采集装置设计 被引量:16
1
作者 严志强 王雨 +2 位作者 任开春 刘浏 王永民 《电力自动化设备》 EI CSCD 北大核心 2007年第5期110-113,共4页
为满足数据采集过程中对频率和分辨率等技术指标方面上的更高要求,设计了一种高速数据采集装置。该装置利用2片A/D芯片将输入的电压、电流模拟信号转换为数字信号,送往复杂可编程逻辑器件(CPLD),并利用2组RAM进行实时存储数据。CPLD产生... 为满足数据采集过程中对频率和分辨率等技术指标方面上的更高要求,设计了一种高速数据采集装置。该装置利用2片A/D芯片将输入的电压、电流模拟信号转换为数字信号,送往复杂可编程逻辑器件(CPLD),并利用2组RAM进行实时存储数据。CPLD产生A/D芯片的控制时序,以及2组RAM的读写控制时序;数字信号处理芯片(DSP)输出控制A/D转换的原始信号,并通过CPLD读写RAM中的采样数据,然后传送给单片机,最后利用单片机的USB接口将采集数据传送给PC机。分析了高速DSP的引导装载过程,并利用单片机实现了DSP程序的引导装载功能。通过在模拟雷击实验和继电器实验中的应用,表明该装置能够提供高速的数据采集和数据传送功能,性能可靠。 展开更多
关键词 数据采集 dsp cpld 单片机
下载PDF
基于DSP和CPLD的激光雷达图像采集和显示集成系统 被引量:13
2
作者 王晓东 刘文耀 +1 位作者 金月寒 郑伟 《光学精密工程》 EI CAS CSCD 2004年第2期190-194,共5页
介绍了一种以DSP和CPLD为核心的激光雷达图像采集系统,阐述了系统工作原理、系统结构和软硬件设计。系统以TMS320VC5402DSP芯片作为系统控制和图像处理的核心,通过双口RAM接收激光雷达图像数据,用CPLD根据场、行、列、写雷达图像4个同... 介绍了一种以DSP和CPLD为核心的激光雷达图像采集系统,阐述了系统工作原理、系统结构和软硬件设计。系统以TMS320VC5402DSP芯片作为系统控制和图像处理的核心,通过双口RAM接收激光雷达图像数据,用CPLD根据场、行、列、写雷达图像4个同步输入信号生成双口RAM的地址信号实现图像存储,原始图像和处理后图像显示在彩色TFT液晶屏上。此外,系统还可通过USB接口与PC机通信实现图像的存储和回显功能。该系统为激光距离成像雷达以及距离图像的研究提供了一种有效的分析和测试工具。 展开更多
关键词 激光雷达 图像采集 dsp cpld 显示 彩色TFT液晶
下载PDF
DSP+CPLD实现CPS-SPWM下的单相多电平脉冲 被引量:17
3
作者 李淳 李建林 +1 位作者 李彩霞 张仲超 《高电压技术》 EI CAS CSCD 北大核心 2006年第8期76-78,107,共4页
载波相移调制SPWM(CPS-SPWM)技术是多重化和正弦波脉宽调制(SPWM)技术的有机结合。针对在CPS-SPWM调制下,单片DSP计数器和PWM输出口的局限,设计了一种DSP+CPLD的系统,实现了单相7电平和9电平脉冲输出。实验表明:用DSP+CPLD构成的系统突... 载波相移调制SPWM(CPS-SPWM)技术是多重化和正弦波脉宽调制(SPWM)技术的有机结合。针对在CPS-SPWM调制下,单片DSP计数器和PWM输出口的局限,设计了一种DSP+CPLD的系统,实现了单相7电平和9电平脉冲输出。实验表明:用DSP+CPLD构成的系统突破了目前单片MCU的限制,使得CPS-SPWM技术在大功率装置上应用更加灵活、实用。 展开更多
关键词 CPS-SPWM dsp cpld 单相 多电平变流器
下载PDF
基于DSP和CPLD电能质量监测装置的设计 被引量:9
4
作者 林广明 黄义锋 +1 位作者 欧阳森 蒋金良 《电力系统保护与控制》 EI CSCD 北大核心 2009年第18期97-101,共5页
设计了一种基于DSP+CPLD构架的电能质量监测装置,该装置利用CPLD产生DSP外围器件的控制时序,文中详细介绍了CPLD对DSP外围器件的逻辑接口设计,通过MAX+PLUSⅡ对CPLD的控制时序进行仿真,仿真结果验证了本设计的可行性,试验测试结果表明... 设计了一种基于DSP+CPLD构架的电能质量监测装置,该装置利用CPLD产生DSP外围器件的控制时序,文中详细介绍了CPLD对DSP外围器件的逻辑接口设计,通过MAX+PLUSⅡ对CPLD的控制时序进行仿真,仿真结果验证了本设计的可行性,试验测试结果表明该装置实现了多项电能质量指标的实时在线监测。 展开更多
关键词 电能质量 dsp cpld 时序
下载PDF
基于DSP和CPLD的三相电流源型变流器 被引量:10
5
作者 王付胜 刘小宁 潘胜明 《电工电能新技术》 CSCD 北大核心 2005年第4期26-29,共4页
本文介绍了一种基于DSP和CPLD的三相电流源型变流器。并介绍了一种单位功率因数控制算法,该控制算法应用三值逻辑PWM开关信号和一个简单的反馈控制策略,既可以保证整流桥直流侧电流很好地跟踪给定值和低纹波,又能够实现整流桥网侧的单... 本文介绍了一种基于DSP和CPLD的三相电流源型变流器。并介绍了一种单位功率因数控制算法,该控制算法应用三值逻辑PWM开关信号和一个简单的反馈控制策略,既可以保证整流桥直流侧电流很好地跟踪给定值和低纹波,又能够实现整流桥网侧的单位功率因数和低谐波污染。文中对主回路、控制算法及基于DSP和CPLD的控制系统做了详细的介绍。在此基础上本文还设计了一个1.0KW的实验样机对控制算法进行实验验证,实验结果与理论分析能够很好地吻合。 展开更多
关键词 电流源型变流器 三值逻辑PWM 控制算法 功率因数 谐波 dsp cpld 实验样机
下载PDF
基于DSP与CPLD的矩阵变换器间接SVPWM控制 被引量:5
6
作者 张龙云 张兴 +1 位作者 杨淑英 邵文昌 《合肥工业大学学报(自然科学版)》 CAS CSCD 北大核心 2009年第7期981-985,共5页
文章研究了基于虚拟直流环节的间接空间矢量调制策略,分别在仿真和实验中验证了该控制策略;实验利用具有实时处理能力的DSP并结合CPLD实现4步换流,输出了正弦的交流电压和输入电流,取得了良好的效果。
关键词 矩阵变换器 间接空间矢量调制 4步换流 数字信号处理 复杂可编程逻辑
下载PDF
基于DSP+CPLD的断路器智能控制单元设计 被引量:14
7
作者 刘幼林 姬劳 《电力自动化设备》 EI CSCD 北大核心 2005年第11期65-68,共4页
介绍了基于新型高性能数字信号处理器(DSP)芯片TMS320F2812和复杂可编程逻辑器件(CPLD)MAX7128实现的断路器智能控制单元设计。重点叙述了调理电路、F2812通信模块、CPLD模块的设计。采用嵌入式实时多任务操作系统μC/OS-Ⅱ作为系统软... 介绍了基于新型高性能数字信号处理器(DSP)芯片TMS320F2812和复杂可编程逻辑器件(CPLD)MAX7128实现的断路器智能控制单元设计。重点叙述了调理电路、F2812通信模块、CPLD模块的设计。采用嵌入式实时多任务操作系统μC/OS-Ⅱ作为系统软件平台,论述了系统软件和应用软件(任务的优先级、流程、通信与同步、通信协议等)的设计,并用VHDL语言实现执行电路的程序设计。该设计方案可提高断路器智能控制单元的可靠性,便于性能扩展。 展开更多
关键词 数字信号处理器 复杂可编程逻辑控制器 断路器 智能控制
下载PDF
基于双DSP与CPLD的继电保护测试仪设计 被引量:7
8
作者 彭春燕 曹志辉 +1 位作者 周有庆 李季 《电测与仪表》 北大核心 2009年第11期40-45,共6页
随着微机继电保护装置的普及和技术水平的提高,对测试设备的智能化程度提出了更高的要求。本文提出了一种基于双DSP与CPLD的微机继电保护测试仪,分析了装置内高精度信号发生主控模块和人机交互模块中各硬件电路的原理及功能,概述了功率... 随着微机继电保护装置的普及和技术水平的提高,对测试设备的智能化程度提出了更高的要求。本文提出了一种基于双DSP与CPLD的微机继电保护测试仪,分析了装置内高精度信号发生主控模块和人机交互模块中各硬件电路的原理及功能,概述了功率放大电路及其保护、自检电路的设计。阐述了主控模块的系统程序设计方法并定义了内部通讯协议。装置采用了嵌入式实时操作系统DSP/BIOS设计人机交互系统软件以确保监控的实时性。基于双DSP与CPLD的微机继电保护测试仪体小质轻,运行可靠,能够满足各种常规继电器和微机保护装置的测试要求。 展开更多
关键词 微机保护测试装置 数字信号处理器 复杂可编逻辑程器件 IEC60879-103规约 功率放大器
下载PDF
基于DSP和CPLD的视频图像采集处理的设计与实现 被引量:5
9
作者 周长林 常青美 简礼宏 《数据采集与处理》 CSCD 北大核心 2008年第B09期168-172,共5页
提出了基于DSP和CPLD的视频图像采集、处理系统的设计与实现方法,系统硬件平台主要由专用视频解码芯片、可编程逻辑器件以及数字信号处理器等组成。讨论了视频图像信号处理的基本构成、原理,采用TVP5150视频解码芯片采集视频信号、输出... 提出了基于DSP和CPLD的视频图像采集、处理系统的设计与实现方法,系统硬件平台主要由专用视频解码芯片、可编程逻辑器件以及数字信号处理器等组成。讨论了视频图像信号处理的基本构成、原理,采用TVP5150视频解码芯片采集视频信号、输出图像数据码流,配置XC95144 CPLD芯片进行系统逻辑控制,利用TMS320VC5416处理器和处理算法软件进行数字图像信号处理,实现了视频图像采集、存储、传输、检测和锐化。系统设计是有效和可行的。 展开更多
关键词 视频信号采集 图像处理 TMS320VC5416处理器 可编程逻辑器件 视频解码芯片TVP5150
下载PDF
基于DSP+CPLD的四电动舵机伺服控制器设计 被引量:5
10
作者 米月星 林辉 李志 《微特电机》 北大核心 2012年第8期61-62,70,共3页
DSP具有强大的事件管理能力,CPLD具有高速的逻辑运算能力。单个DSP配以CPLD可以产生多路PWM波,完成对四舵机的伺服控制。软件上,DSP完成AD采集、PID运算以及中断管理,CPLD完成多路PWM的产生以及实时保护的功能。该控制方式充分利用了DSP... DSP具有强大的事件管理能力,CPLD具有高速的逻辑运算能力。单个DSP配以CPLD可以产生多路PWM波,完成对四舵机的伺服控制。软件上,DSP完成AD采集、PID运算以及中断管理,CPLD完成多路PWM的产生以及实时保护的功能。该控制方式充分利用了DSP和CPLD的优点,既节约了成本,减小了体积,也提高了系统的可靠性。 展开更多
关键词 多舵机系统 伺服控制 dsp cpld
下载PDF
基于DSP和CPLD开发容性设备介损在线监测终端 被引量:4
11
作者 章伟聪 戴征武 胡天云 《电子技术应用》 北大核心 2009年第1期117-120,123,共5页
为了在完成数据采集的同时还能进行各种处理和控制,设计开发了一种基于DSP和CPLD技术的高压容性设备介损在线监测终端。阐述了该终端中高速A/D转换电路与DSP接口电路、锁相倍频电路及其他通讯接口电路的具体实现方法,以及基于DSP采用优... 为了在完成数据采集的同时还能进行各种处理和控制,设计开发了一种基于DSP和CPLD技术的高压容性设备介损在线监测终端。阐述了该终端中高速A/D转换电路与DSP接口电路、锁相倍频电路及其他通讯接口电路的具体实现方法,以及基于DSP采用优化的傅里叶变换求取介质损失角正切(tgδ)的方法。经试验表明,系统工作稳定可靠。 展开更多
关键词 高压容性设备 dsp cpld 介损测量 在线监测
下载PDF
基于DSP+CPLD的多路PWM发生器的设计 被引量:3
12
作者 张长勇 孙毅刚 李志刚 《河北工业大学学报》 CAS 北大核心 2009年第1期61-66,共6页
随着多电平技术的发展,开关数量急剧增加.对于超过三电平的电路结构,现有的嵌入式处理器本身提供的PWM通道显然不够用,而CPLD具有I/O口多、设计灵活、规模大和速度快的优点,为此本文采用DSP+CPLD方式,设计了多电平变换器用脉冲发生器实... 随着多电平技术的发展,开关数量急剧增加.对于超过三电平的电路结构,现有的嵌入式处理器本身提供的PWM通道显然不够用,而CPLD具有I/O口多、设计灵活、规模大和速度快的优点,为此本文采用DSP+CPLD方式,设计了多电平变换器用脉冲发生器实现方案.详细介绍了方案设计方法和特点,并给出了部分实验结果. 展开更多
关键词 多电平变换器 脉冲发生器 dsp cpld
下载PDF
基于DSP及CPLD的实时同步测量装置 被引量:5
13
作者 路平 梁军 +1 位作者 贠志皓 刘玉田 《继电器》 CSCD 北大核心 2004年第23期53-57,共5页
介绍了新研制的一种同步测量装置的功能特点及硬件构成,详细论述了为减轻CPU的工作负担利用复杂可编程逻辑器件(CPLD)实现锁相、引入GPS时钟和生成采样脉冲等功能;同时利用CPLD丰富的I/O口及灵活的可编程性,通过软件编程实现各种逻辑器... 介绍了新研制的一种同步测量装置的功能特点及硬件构成,详细论述了为减轻CPU的工作负担利用复杂可编程逻辑器件(CPLD)实现锁相、引入GPS时钟和生成采样脉冲等功能;同时利用CPLD丰富的I/O口及灵活的可编程性,通过软件编程实现各种逻辑器件的功能,从而减少了外部连接线的数量,提高了系统的可靠性和稳定性。利用数字信号处理器(DSP)实现控制和数学运算,DSP的混合编程技术不但充分发挥了其强大数字信号处理能力,而且缩短了装置开发周期。该装置可以满足电力系统对实时同步测量的要求,同时也可以应用于其它在线监测领域。 展开更多
关键词 实时 混合编程技术 复杂可编程逻辑器件(cpld) I/O口 CPU dsp 同步测量 锁相 可编程性 数字信号处理
下载PDF
复杂可编程逻辑器件(CPLD)在DSP交流电机控制系统中的应用 被引量:5
14
作者 王宝国 宗鸣 王凤翔 《电机与控制学报》 EI CSCD 北大核心 2001年第1期40-43,共4页
介绍了复杂可编程逻辑器件(CPLD)的结构和功能、使用方法和步骤.指出 CPLD系统内编程和快速性为使用者提供了方便条件。电机控制系统设计和实验结果表明,在DSP交流电机控制系统中应用CPLD,会使系统更加合理、紧凑.
关键词 交流电机 控制系统 可编程逻辑器件 dsp 数字信号处理器
下载PDF
采用DSP和CPLD的100kV高压脉冲电源控制系统 被引量:8
15
作者 潘圣民 傅鹏 +2 位作者 蒋力 杨雷 李云娜 《高电压技术》 EI CAS CSCD 北大核心 2009年第7期1667-1671,共5页
高压脉冲电源是中性束注入加热装置中的主要系统之一,该电源具有输出电压0~100kVDC可调,最大电流100A,电压调整精度<1%,纹波(峰-峰)<2%,电流上升时间≤20μs,关断时间≤5μs等特点。控制系统的好坏将直接影响电源的运行,为此,主... 高压脉冲电源是中性束注入加热装置中的主要系统之一,该电源具有输出电压0~100kVDC可调,最大电流100A,电压调整精度<1%,纹波(峰-峰)<2%,电流上升时间≤20μs,关断时间≤5μs等特点。控制系统的好坏将直接影响电源的运行,为此,主要研究了基于数字信号处理器(DSP)和复杂可编程逻辑控制器(CPLD)的高压脉冲电源的控制系统,CPLD可实现电源模块内部故障信号逻辑运算、绝缘栅双极晶体管(IGBT)和晶闸管(SCR)的分合;DSP主要实现与上位机的光纤通信。通过60kV/100A实验及测试的结果表明,该控制系统是可行的。 展开更多
关键词 复杂可编程逻辑控制器 数字信号处理器 高压 脉冲 快速关断 光纤 绝缘栅双极晶体管 晶闸管
下载PDF
基于DSP+CPLD的车牌实时识别系统的设计 被引量:6
16
作者 洪健 陈继荣 《计算机应用研究》 CSCD 北大核心 2007年第7期218-219,288,共3页
传统的基于微机的车牌识别系统的方案,使得评价识别效果的识别速率和识别成功率两个重要指标相互冲突。为了解决这个问题,很多文章提出了改进算法来调和识别算法的计算量和识别的成功率,但却没有考虑识别系统的成本问题。提出了一个基于... 传统的基于微机的车牌识别系统的方案,使得评价识别效果的识别速率和识别成功率两个重要指标相互冲突。为了解决这个问题,很多文章提出了改进算法来调和识别算法的计算量和识别的成功率,但却没有考虑识别系统的成本问题。提出了一个基于DSP+CPLD的硬件识别平台,可以大大提高各种识别算法的适应性和降低系统成本。 展开更多
关键词 数字信息处理器 复杂可编程逻辑设备 车牌识别系统
下载PDF
基于DSP+CPLD的悬臂式掘进机智能控制系统设计 被引量:9
17
作者 韩艳赞 史增芳 《煤矿机械》 北大核心 2020年第9期20-22,共3页
针对掘进机工作载荷突变导致系统稳定性变差和截割效率低的问题,在分析影响掘进机工作载荷因素的基础上,设计了一种基于DSP+CPLD为核心的智能控制系统。通过多传感器技术进行煤岩动态感知,构建基于RBF-PID的自适应截割控制策略和系统结... 针对掘进机工作载荷突变导致系统稳定性变差和截割效率低的问题,在分析影响掘进机工作载荷因素的基础上,设计了一种基于DSP+CPLD为核心的智能控制系统。通过多传感器技术进行煤岩动态感知,构建基于RBF-PID的自适应截割控制策略和系统结构,完成了截割头转速、截割臂移动速度与工作载荷之间的匹配,从而达到断面截割成形的自适应控制。仿真结果表明:该智能控制系统在工作载荷突变条件下,稳态工作的响应效率达到设定目标值,表明该控制器不仅具有较强的鲁棒性,而且确保了悬臂式掘进机在工况突变状况下的截割稳定性。 展开更多
关键词 悬臂掘进机 dsp cpld 自适应截割 模糊神经网络PID控制器
下载PDF
基于DSP+CPLD的电动舵机控制系统的设计 被引量:6
18
作者 陈诚 陈晓平 王识君 《电子技术应用》 北大核心 2015年第4期84-86,90,共4页
设计了一个基于DSP+CPLD的电动舵机控制系统。硬件电路包括控制器、驱动电路、信号检测电路以及保护电路,完成了对各部分电路的检测,同时采用了PI控制算法,并对参数进行了调整。采用DSP和CPLD相结合的主控单元,简化了DSP的外围电路,减少... 设计了一个基于DSP+CPLD的电动舵机控制系统。硬件电路包括控制器、驱动电路、信号检测电路以及保护电路,完成了对各部分电路的检测,同时采用了PI控制算法,并对参数进行了调整。采用DSP和CPLD相结合的主控单元,简化了DSP的外围电路,减少了DSP消耗的运算资源,并充分运用了CPLD编程的灵活性。实验结果表明,该电动舵机控制系统运行可靠、稳定,具有较强的实用性。 展开更多
关键词 舵机 dsp cpld 控制系统
下载PDF
基于DSP与CPLD的LCD液晶显示驱动设计 被引量:3
19
作者 周西峰 沈毅 郭前岗 《计算机技术与发展》 2011年第4期223-226,共4页
提出了一种新的基于DSP与CPLD的液晶显示驱动设计方法。该方法针对高速DSP与低速LCD通信过程中经常出现的时序不匹配的问题,利用CPLD提出了一种合理的解决方案,并给出了这种解决方案基本的硬件电路连接方式及DSP与CPLD上的部分程序代码... 提出了一种新的基于DSP与CPLD的液晶显示驱动设计方法。该方法针对高速DSP与低速LCD通信过程中经常出现的时序不匹配的问题,利用CPLD提出了一种合理的解决方案,并给出了这种解决方案基本的硬件电路连接方式及DSP与CPLD上的部分程序代码,且进行了逻辑时序分析。将CPLD作为高速DSP与低速LCD之间通信的桥梁,有效地减小了低速外设对高速处理器的影响,实现了低速外设与高速处理器之间的高速、大数据量传输。该设计方法已在实际应用中取得成功。 展开更多
关键词 dsp cpld 液晶显示驱动 时序匹配
下载PDF
基于CPLD的DSP应用系统设计 被引量:3
20
作者 卢慧芬 刘良杰 褚文强 《机电工程》 CAS 2002年第6期17-20,共4页
介绍Xilinx公司的XC95 36为代表的CPLD在DSP系统中的实例 ,分析了CPLD的应用和实现方法 。
关键词 cpld dsp 应用系统 设计 数字信号处理器
下载PDF
上一页 1 2 27 下一页 到第
使用帮助 返回顶部