期刊文献+
共找到114篇文章
< 1 2 6 >
每页显示 20 50 100
BRAM存储器EDAC容错技术可靠性分析 被引量:3
1
作者 伊小素 邓燕 +2 位作者 潘雄 江云天 张家铭 《航天控制》 CSCD 北大核心 2011年第5期67-71,共5页
SRAM型FPGA内部高密度BRAM存储模块作为用户存储资源,在空间运行中易受单粒子翻转效应影响,造成用户数据失效,EDAC技术被广泛采用作为其容错手段。对于商用型SRAM-FPGA,编码/解码模块可靠性对EDAC容错技术有效性具有很大影响,因此本文... SRAM型FPGA内部高密度BRAM存储模块作为用户存储资源,在空间运行中易受单粒子翻转效应影响,造成用户数据失效,EDAC技术被广泛采用作为其容错手段。对于商用型SRAM-FPGA,编码/解码模块可靠性对EDAC容错技术有效性具有很大影响,因此本文在考虑编码/解码模块可靠性影响情况下,对商用SRAM-FPGA内嵌BRAM存储器进行EDAC容错技术可靠性建模,并得到提高BRAM可靠性需满足的编码/解码模块的可靠性参数限制条件关系式,最后通过仿真验证其模型的合理性及限制条件关系式的正确性,为相关工程设计中SRAM型FPGA的BRAM存储器EDAC容错技术的可行性设计提供指导。 展开更多
关键词 SEU edac 可靠性模型 BRAM
下载PDF
应用EDAC容错技术的星载软件堆栈溢出实时检测方法 被引量:1
2
作者 张睿 周波 +2 位作者 郝维宁 李露铭 乔梁 《航天器工程》 CSCD 北大核心 2018年第4期104-110,共7页
针对常见的星载软件堆栈溢出问题,提出了一种应用错误监测与纠正(EDAC)容错技术的堆栈溢出实时检测方法。以采用BM3803处理器的星载软件为例,通过处理器提供的内存造错和EDAC机制,对软件堆栈设置雷区和隔离区,通过陷阱程序感知堆栈生长... 针对常见的星载软件堆栈溢出问题,提出了一种应用错误监测与纠正(EDAC)容错技术的堆栈溢出实时检测方法。以采用BM3803处理器的星载软件为例,通过处理器提供的内存造错和EDAC机制,对软件堆栈设置雷区和隔离区,通过陷阱程序感知堆栈生长过程,记录堆栈使用深度,并实时检测堆栈溢出。对核心模块的性能测试及堆栈检测实例验证表明:该方法实现简单,对软件性能几乎没有影响,使软件可以在线实时检测堆栈使用深度。软件在堆栈溢出时仍能自主可控,避免"跑飞"崩溃,大大提高其可靠性。 展开更多
关键词 星载软件 堆栈使用深度 堆栈溢出 edac容错技术
下载PDF
基于FPGA的星载计算机自检EDAC电路设计 被引量:9
3
作者 孙吉利 张平 《微计算机信息》 2009年第23期131-133,共3页
为了消除空间环境中单粒子翻转(SEU)的影响,目前星载计算机中均对RAM存储单元采用检错纠错(EDAC)设计。随着FPGA在航天领域的广泛应用,FPGA已成为EDAC功能实现的最佳硬件手段。本文介绍了EDAC的编码和实现,提出一种功能完善的、具有自... 为了消除空间环境中单粒子翻转(SEU)的影响,目前星载计算机中均对RAM存储单元采用检错纠错(EDAC)设计。随着FPGA在航天领域的广泛应用,FPGA已成为EDAC功能实现的最佳硬件手段。本文介绍了EDAC的编码和实现,提出一种功能完善的、具有自检、自纠错功能的EDAC电路设计,并采用仿真工具对该EDAC电路的功能进行了验证。 展开更多
关键词 edac FPGA 自检 自纠错 仿真验证
下载PDF
S698M SoC芯片中EDAC模块的设计与实现 被引量:8
4
作者 黄琳 陈第虎 +1 位作者 梁宝玉 颜军 《中国集成电路》 2008年第9期50-54,共5页
EDAC检错纠错模块在电子、通信以及航空航天等领域有着广泛的应用。本文主要介绍了利用[39,32]扩展海明码的EDAC模块的基本原理和用VHDL语言设计实现EDAC的设计实现,该模块在XIL-INXISE软件开发环境下通过设计、综合、仿真,验证了设计... EDAC检错纠错模块在电子、通信以及航空航天等领域有着广泛的应用。本文主要介绍了利用[39,32]扩展海明码的EDAC模块的基本原理和用VHDL语言设计实现EDAC的设计实现,该模块在XIL-INXISE软件开发环境下通过设计、综合、仿真,验证了设计的正确性。 展开更多
关键词 错误检测与校正(edac) 单粒子翻转(SEU) VHDL 扩展海明码
下载PDF
基于FPGA的EDAC技术在星载计算机中的应用 被引量:2
5
作者 刘群 周新发 杨桦 《控制工程(北京)》 2005年第5期50-55,共6页
当前星载计算机广泛采用硬件纠错检错(EDAC)电路来对RAM存储单元进行纠错检错处理,以消除SEU的影响。随着FPGA在航天领域的广泛应用,采用FPGA来完成整个EDAC功能已经成为发展趋势,该技术不仅具有性能高、速度快、功耗低等诸多优点... 当前星载计算机广泛采用硬件纠错检错(EDAC)电路来对RAM存储单元进行纠错检错处理,以消除SEU的影响。随着FPGA在航天领域的广泛应用,采用FPGA来完成整个EDAC功能已经成为发展趋势,该技术不仅具有性能高、速度快、功耗低等诸多优点,而且占用面积小,为系统小型化提供了途径。本文介绍了EDAC的编码原理、操作流程,以及在X86星载计算机系统中的FPGA实现,论述了其中采用的提高EDAC性能、速度等特性的关键技术。 展开更多
关键词 FPGA edac VHDL 星载计算机 X86
下载PDF
EDACS通信系统的特点及常见故障解决方法
6
作者 张永枫 陈海松 《微计算机信息》 北大核心 2006年第11X期172-174,共3页
本文简单介绍了EDACS集群通信系统的特点,并应用丰富的经验阐述了EDACS集群通信系统的常规故障及解决方法。
关键词 增强型数字信令集群系统(edacS) 集群 移动通信
下载PDF
基于32位SPARC微处理器的EDAC设计 被引量:3
7
作者 吴晓青 龙翔 杨雄 《软件》 2014年第1期58-59,共2页
EDAC(错误检测和纠正)是星载计算机对付单粒子事件有效的策略。本文给出了基于32位SPARC体系结构微处理器TSC695F的EDAC设计,并给出了采用错误注入方式对于该设计的测试,证明了设计是正确的。
关键词 edac 32位SPARC RAM 错误注入
下载PDF
基于LEON3的中央控制器设计及EDAC功能实现 被引量:2
8
作者 周定江 金明河 +1 位作者 任伟 禹超 《机械与电子》 2011年第10期57-60,共4页
针对目前空间机器人中央控制器运行速度较低、实时控制性弱等缺点,设计了基于LEON3处理器核的中央控制器,用做空间机器人控制的初步研究。同时,为了解决太空环境中电子控制系统中存储器易出现单粒子翻转效应(SEU)的问题,设计了一种基于H... 针对目前空间机器人中央控制器运行速度较低、实时控制性弱等缺点,设计了基于LEON3处理器核的中央控制器,用做空间机器人控制的初步研究。同时,为了解决太空环境中电子控制系统中存储器易出现单粒子翻转效应(SEU)的问题,设计了一种基于Hsiao码的EDAC电路,以实现"纠一检二"(SEC-DED)的基本功能。使用VHDL语言描述该EDAC功能,使其兼容LEON3的AM-BA2.0总线,通过仿真试验验证了电路的可靠性。 展开更多
关键词 中央控制器 LEON3 单粒子翻转效应 edac
下载PDF
基于单片SRAM的EDAC电路设计 被引量:1
9
作者 解彦 周昌义 +1 位作者 周盛雨 石磊 《微计算机信息》 2011年第7期99-101,共3页
在航天应用中,为了减少单粒子翻转效应的影响,星载计算机的RAM存储单元采用检错纠错(EDAC)设计。本文介绍了EDAC原理,并对EDAC电路组成进行改进,将数据和校验存储在一片64Kx8的SRAM中,通过FPGA内部逻辑实现EDAC功能和RAM读写控制,增加... 在航天应用中,为了减少单粒子翻转效应的影响,星载计算机的RAM存储单元采用检错纠错(EDAC)设计。本文介绍了EDAC原理,并对EDAC电路组成进行改进,将数据和校验存储在一片64Kx8的SRAM中,通过FPGA内部逻辑实现EDAC功能和RAM读写控制,增加了纠错回写和纠错计数功能,并提供测试验证EDAC功能的方法。 展开更多
关键词 FPGA edac SRAM SEU
下载PDF
空间太阳望远镜EDAC系统的设计
10
作者 雷利华 耿立红 +1 位作者 金声震 徐志衡 《连云港职业技术学院学报》 2005年第1期38-41,共4页
本文利用FPGA实现卫星程序存储器纠错检错(EDAC)系统的设计,采用(22,16)扩展汉明码,生成优化的校验矩阵进行编译码,并经过仿真验证,性能符合设计要求。
关键词 edac 单粒子翻转 汉明码 校验矩阵
下载PDF
Verification of SEU resistance in 65 nm high-performance SRAM with dual DICE interleaving and EDAC mitigation strategies 被引量:2
11
作者 Ze He Shi-Wei Zhao +5 位作者 Tian-Qi Liu Chang Cai Xiao-Yu Yan Shuai Gao Yu-Zhu Liu Jie Liu 《Nuclear Science and Techniques》 SCIE EI CAS CSCD 2021年第12期64-76,共13页
A dual double interlocked storage cell(DICE)interleaving layout static random-access memory(SRAM)is designed and manufactured based on 65 nm bulk complementary metal oxide semiconductor technology.The single event ups... A dual double interlocked storage cell(DICE)interleaving layout static random-access memory(SRAM)is designed and manufactured based on 65 nm bulk complementary metal oxide semiconductor technology.The single event upset(SEU)cross sections of this memory are obtained via heavy ion irradiation with a linear energy transfer(LET)value ranging from 1.7 to 83.4 MeV/(mg/cm^(2)).Experimental results show that the upset threshold(LETth)of a 4 KB block is approximately 6 MeV/(mg/cm^(2)),which is much better than that of a standard unhardened SRAM with an identical technology node.A 1 KB block has a higher LETth of 25 MeV/(mg/cm^(2))owing to the use of the error detection and correction(EDAC)code.For a Ta ion irradiation test with the highest LET value(83.4 MeV/(mg/cm^(2))),the benefit of the EDAC code is reduced significantly because the multi-bit upset proportion in the SEU is increased remarkably.Compared with normal incident ions,the memory exhibits a higher SEU sensitivity in the tilt angle irradiation test.Moreover,the SEU cross section indicates a significant dependence on the data pattern.When comprehensively considering HSPICE simulation results and the sensitive area distributions of the DICE cell,it is shown that the data pattern dependence is primarily associated with the arrangement of sensitive transistor pairs in the layout.Finally,some suggestions are provided to further improve the radiation resistance of the memory.By implementing a particular design at the layout level,the SEU tolerance of the memory is improved significantly at a low area cost.Therefore,the designed 65 nm SRAM is suitable for electronic systems operating in serious radiation environments. 展开更多
关键词 Double interlocked storage cell(DICE) Error detection and correction(edac)code Heavy ion Radiation hardening technology Single event upset(SEU) Static random-access memory(SRAM)
下载PDF
一种航天器用单片机系统的EDAC设计与实现
12
作者 严丹 《电子技术与软件工程》 2018年第6期250-252,共3页
为给单片机实现EDAC功能,采用了FPGA进行编码设计,设计了FPGA与单片机的硬件和软件接口,将FPGA作为单片机数据与EDAC校验数据之间的中转编译,实现了单片机系统内的EDAC校验功能。
关键词 单片机 FPGA 单粒子翻转 edac
下载PDF
高可靠性SRAM中缩短汉明码EDAC电路的失效分析 被引量:1
13
作者 刘鑫 赵发展 +1 位作者 刘梦新 韩郑生 《电子设计工程》 2014年第22期52-55,共4页
缩短汉明码及其改进码字被广泛使用在宇航级高可靠性存储器的差错检测与纠正电路中。作为一种成熟的纠正单个错误编码,其单字节内多位翻转导致缩短汉明码失效的研究却很少。这篇文章分析了单字节多位翻转导致缩短汉明码失效的情况,分析... 缩短汉明码及其改进码字被广泛使用在宇航级高可靠性存储器的差错检测与纠正电路中。作为一种成熟的纠正单个错误编码,其单字节内多位翻转导致缩短汉明码失效的研究却很少。这篇文章分析了单字节多位翻转导致缩短汉明码失效的情况,分析了各种可能的错误输出模式,并从理论上给出了其概率计算公式。采用Matlab软件进行的计算机模拟试验表明,理论结果与试验结果基本相符。这篇文章最后分析了ISSI公司在其抗辐射SRAM设计中采用的一种将较长信息位分成相等两部分,分别采用缩短汉明码进行编译码的方案。分析表明,这种编译码方案可以降低失效状态下输出3 bit翻转的概率。 展开更多
关键词 可靠性 多位翻转 缩短汉明码 静态随机读取存储器 纠错电路
下载PDF
多糖蛋白结合疫苗中残留ADH和EDAC同时定量检测的液相色谱串联质谱法的建立 被引量:1
14
作者 李茂光 龙珍 +4 位作者 李亚南 王春娥 李月琪 毛琦琦 叶强 《中国生物制品学杂志》 CAS CSCD 2020年第9期1054-1060,共7页
目的建立同时定量检测己二酰肼(adipic acid dihydrazide,ADH)和N-(3-二甲基氨基丙基)-N′-乙基碳二亚胺[N-(3-dimethylaminopropyl)-N′-ethylcarbodimide,EDAC]的液相色谱串联质谱(liquid chromatography tandem mass spectrometry,LC... 目的建立同时定量检测己二酰肼(adipic acid dihydrazide,ADH)和N-(3-二甲基氨基丙基)-N′-乙基碳二亚胺[N-(3-dimethylaminopropyl)-N′-ethylcarbodimide,EDAC]的液相色谱串联质谱(liquid chromatography tandem mass spectrometry,LC-MS/MS)法,实现多糖蛋白结合物(肺炎球菌结合物、脑膜炎球菌结合物和b型流感嗜血杆菌结合物)中残留ADH和EDAC的定量研究。方法通过检测EDAC在纯水、中性水溶液[(50 mmol/L磷酸缓冲溶液(pH 6.8)、200 mmol/L氯化钠水溶液和疫苗培养基)]及0.1%甲酸(formic acid,FA)水溶液中的稳定性,确定实现EDAC完全转换为EDU的前处理条件。优化了色谱柱种类对ADH、EDU和EDAC的保留,以及质谱条件如产物离子、碰撞电压、Q1和Q3电压等对ADH和EDU定量灵敏度的影响,建立以C18WCX(2.1 mm×150 mm,5μm)为固定相,pH 2~3的0.1%FA-水和0.1%FA-乙腈为流动相的LC-MS/MS方法,实现ADH和EDAC(实际检测对象为EDAC的转换产物EDU)的高灵敏度定量分析。对建立方法的精密度、准确度、线性范围、检出限(LOD)和定量限(LOQ)进行验证。结果ADH在水溶液中较稳定,EDAC自溶解开始即水解为EDU,且难以完全转换,导致EDAC测定困难;在样品和标准品溶液中加入FA,可实现EDAC完全且快速的转换为EDU。ADH、EDU和EDAC在C18色谱柱上保留弱,在色谱柱的死时间附近出峰,无法实现这些化合物与样品基质的分离,而本文所选C18WCX固定相可实现目标化合物的保留且峰形较好。通过前体离子扫描、产物离子扫描,选择目标化合物的前体离子和响应强度较好的产物离子作为MRM的离子对,并用MRM自动优化程序获得目标化合物的最佳Q1、CE和Q3电压值。建立的方法检测的ADH和EDAC含量与峰面积线性关系良好,R2均大于0.999;ADH的LOD为3.96μg/L、LOQ为15.63μg/L,EDAC的LOD为0.58μg/L、LOQ为1.17μg/L,灵敏度较高;精密度(峰面积RSD<2%)及准确度(回收率为90%~105%)较高。结论建立的LC-MS/MS方法实现了多糖蛋白结合疫苗中残留ADH和EDAC(通过测定EDU定量EDAC)的高灵敏度检测,与《中国药典》三部(2015版)方法相比,该方法预处理更简单,自动化程度更高且灵敏度更好,适合于多种多糖蛋白结合物中ADH和EDAC残留的检测。 展开更多
关键词 液相色谱串联质谱 ADH edac 肺炎球菌结合疫苗 脑膜炎球菌结合疫苗 B型流感嗜血杆菌结合疫苗
原文传递
基于龙芯LA132软核处理器的宇航级SoPC设计
15
作者 刘珍妮 安军社 胡婉如 《电讯技术》 北大核心 2024年第5期772-777,共6页
针对现有星载计算机主控系统灵活性差和在空间辐射环境中存在单粒子翻转等问题,设计了一种灵活性强、可靠性高、自主可控的宇航级片上可编程系统(System-on-Programmable-Chip,SoPC)。该系统将龙芯LA132软核处理器应用于航天领域,降低... 针对现有星载计算机主控系统灵活性差和在空间辐射环境中存在单粒子翻转等问题,设计了一种灵活性强、可靠性高、自主可控的宇航级片上可编程系统(System-on-Programmable-Chip,SoPC)。该系统将龙芯LA132软核处理器应用于航天领域,降低了星载计算机主控系统的体积。为系统存储单元设计实现了一种基于矩阵算法的RS(8,4)码,可在无延迟的情况下实现错误检测与纠正功能,增强了系统的可靠性。测试结果表明,该SoPC系统在Xilinx KCU105硬件平台上可实现单周期内对两个错误符号的检测与纠正,满足宇航级安全性与可靠性的需求,为星载计算机主控系统的小型化提供了一种新的解决方案。 展开更多
关键词 星载计算机 片上可编程系统(SoPC) 软核处理器 错误检测与纠正(edac)
下载PDF
Low cost design of microprocessor EDAC circuit
16
作者 郝丽 于立新 +1 位作者 彭和平 庄伟 《Journal of Semiconductors》 EI CAS CSCD 2015年第11期88-92,共5页
An optimization method of error detection and correction(EDAC) circuit design is proposed. The method involves selecting or constructing EDAC codes of low cost hardware, associated with operation scheduling implementa... An optimization method of error detection and correction(EDAC) circuit design is proposed. The method involves selecting or constructing EDAC codes of low cost hardware, associated with operation scheduling implementation based on 2-input XOR gates structure, and two actions for reducing hardware cells, which can reduce the delay penalties and area costs of the EDAC circuit effectively. The 32-bit EDAC circuit hardware implementation is selected to make a prototype, based on the 180 nm process. The delay penalties and area costs of the EDAC circuit are evaluated. Results show that the time penalty and area cost of the EDAC circuitries are affected with different parity-check matrices and different hardware implementation for the EDAC codes with the same capability of correction and detection code. This method can be used as a guide for low-cost radiation-hardened microprocessor EDAC circuit design and for more advanced technologies. 展开更多
关键词 edac 电路设计 微处理器 成本低 DAC电路 硬件实现 错误检测 编码电路
原文传递
EDACS集群电话系统
17
作者 陈祖浩 《特区科技》 1997年第2期6-12,共7页
EDACS集群电话系统是ERICSSON-GE公司90年代初推出的产品。 EDACS是ENHANCED DIGITAL ACCESS COMMUNICATION SYSTEM的缩写,直译为加强型数字接入通信系统。EDACS系统采用专用控制信道方式,使用数字信令,信令速率为9600bps。
关键词 电话 电话系统 集群电话系统 edacS系统
原文传递
改进型纠检错校验算法在星载计算机上的应用
18
作者 甄凡凡 徐雪莲 《现代电子技术》 2023年第16期22-28,共7页
纠检错算法是星载计算机抗单粒子翻转事件的有效策略。随着FPGA在航天领域的广泛应用,纠检错算法已成为实现纠检错功能的最佳硬件手段。针对单粒子多位翻转事件的发生,文中提出一种纠检错能力更强、耗时更短且具备数据回写功能的改进型... 纠检错算法是星载计算机抗单粒子翻转事件的有效策略。随着FPGA在航天领域的广泛应用,纠检错算法已成为实现纠检错功能的最佳硬件手段。针对单粒子多位翻转事件的发生,文中提出一种纠检错能力更强、耗时更短且具备数据回写功能的改进型纠检错算法。该算法采用一种(48,32,6)的线性循环缩短码,通过16位校验位对32位数据位进行纠二检三校验。在星载嵌入式高可靠计算机上进行算法验证,实验结果表明,所提算法能够成功实现纠二检三和数据回写的功能,且耗时仅需两个时钟周期。该算法满足实时性系统的要求,可以有效防护单粒子翻转事件,提高星载计算机的可靠性。 展开更多
关键词 纠检错算法 星载计算机 单粒子翻转事件 现场可编程门阵列 编解码算法 纠二检三 数据回写
下载PDF
一种星载计算机操作系统容错引导算法研究 被引量:5
19
作者 辛宁 邱乐德 +1 位作者 张立华 张宏飞 《宇航学报》 EI CAS CSCD 北大核心 2013年第6期818-823,共6页
将软件冗余备份与SPARC V8构架芯片EDAC相结合,提出了一种新型星载计算机操作系统容错引导算法。该算法首先在EEPROM中备份三份操作系统文件,星载机上电或复位后,利用硬件EDAC功能对引导的操作系统文件进行错误检测及纠错,当检测主操作... 将软件冗余备份与SPARC V8构架芯片EDAC相结合,提出了一种新型星载计算机操作系统容错引导算法。该算法首先在EEPROM中备份三份操作系统文件,星载机上电或复位后,利用硬件EDAC功能对引导的操作系统文件进行错误检测及纠错,当检测主操作系统文件错误并且无法纠错时,则屏蔽错误操作系统文件自动引导备份操作系统文件,星载机仍可正常启动。与目前采用的硬件编码容错技术及软件冗余容错算法相比,该算法在有效降低硬件成本和软件消耗的同时,实现了对操作系统文件的检错纠错及错误屏蔽功能,提高了操作系统数据的可靠性。该算法可以为星载机容错设计提供参考。 展开更多
关键词 星载计算机 操作系统 SPARC V8微处理器 edac 容错引导
下载PDF
计算机RAM检错纠错电路的设计与实现 被引量:5
20
作者 刘淑芬 崔星 《航天控制》 CSCD 北大核心 2003年第4期59-67,共9页
分析了在空间环境下影响RAM可靠性的主要因素及主要故障模式,介绍了利用FPGA实现RAM 检错纠错电路的方法,给出了仿真结果,并将此方法同用中小规模集成电路实现RAM EDAC的方法进行了比较。
关键词 计算机 RAM edac 电路设计 仿真 FPGA 检错纠错电路 可靠性 故障模式 SEU 电路模块 设计原理
下载PDF
上一页 1 2 6 下一页 到第
使用帮助 返回顶部