期刊文献+
共找到39篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA的引信安全与起爆控制电路设计
1
作者 朱博文 聂伟荣 《兵器装备工程学报》 CAS CSCD 北大核心 2023年第12期1-7,共7页
针对现代武器对弹药毁伤效能的要求和引信小型化、智能化的技术要求,从安全性、稳定性和小型化3个方面考虑,基于国产FPGA芯片设计了一种具有防差错功能的小型化引信安全与起爆控制电路。该电路可以按引信触发、近炸和延期作用方式给出... 针对现代武器对弹药毁伤效能的要求和引信小型化、智能化的技术要求,从安全性、稳定性和小型化3个方面考虑,基于国产FPGA芯片设计了一种具有防差错功能的小型化引信安全与起爆控制电路。该电路可以按引信触发、近炸和延期作用方式给出相应控制信号,可根据目标区信息和弹道信息利用数字信号进行灵活控制,采用LVDS协议与上位机进行信息交互。最后利用Modelsim仿真软件验证了电路逻辑时序正常。安全与解除保险功能试验和起爆功能试验结果表明了该电路能够安全可靠地解除保险和实现多功能起爆。 展开更多
关键词 引信 安全与起爆电路 fpga 逻辑设计 软件仿真
下载PDF
TDICCD时序设计在FPGA中的工程实现 被引量:7
2
作者 万旻 李涛 《航天返回与遥感》 2006年第3期34-40,27,共8页
文章结合TDICCD和FPGA器件特点,主要从设计目标分析,VHDL算法描述、综合、布线、仿真、代码优化、代码测试验证等几方面,阐述了TDICCD时序设计的全过程;利用同步设计的思想避免了竞争与冒险;利用RC延迟模块实现了时序的精确调整;利用模... 文章结合TDICCD和FPGA器件特点,主要从设计目标分析,VHDL算法描述、综合、布线、仿真、代码优化、代码测试验证等几方面,阐述了TDICCD时序设计的全过程;利用同步设计的思想避免了竞争与冒险;利用RC延迟模块实现了时序的精确调整;利用模块化思想提高了代码的可重用性、可测试性、可读性。最终,实现了一个6MHz像元输出速率下能正常工作的TDICCD的成像系统。 展开更多
关键词 fpga TDICCD 时序逻辑设计 模块化设计 代码优化
下载PDF
基于FPGA的通用光纤通信系统设计 被引量:11
3
作者 杨春玲 左令 《电子技术应用》 2020年第9期110-113,117,共5页
光纤通信系统在工业现场应用日益广泛,针对现有光纤通信系统通用性、灵活性不足等问题,设计了一套通用性强、结构轻便的光纤通信系统。采用FPGA作为主控制器,通过USB3.0总线从上位机接收指令及数据,然后在光纤通信链路上完成数据发送与... 光纤通信系统在工业现场应用日益广泛,针对现有光纤通信系统通用性、灵活性不足等问题,设计了一套通用性强、结构轻便的光纤通信系统。采用FPGA作为主控制器,通过USB3.0总线从上位机接收指令及数据,然后在光纤通信链路上完成数据发送与接收,并控制串化-解串器芯片和光电转换模块完成信号形式的转换与传递。实验结果表明,系统在1.6 Gb/s的有效速率下长时间通信无误码出现,能够正确可靠地执行数据传输任务。 展开更多
关键词 光纤通信 fpga USB3.0 逻辑设计
下载PDF
基于FPGA的高速数据存储系统优化设计 被引量:9
4
作者 张凯华 任勇峰 贾兴中 《电子技术应用》 北大核心 2014年第9期37-40,共4页
针对遥测系统数据记录装置中数据传输速率与存储速率不匹配的问题,提出Flash的并行存储方案,采用交替双平面的编程方式可以使得存储器的存储速率达到单片Flash最高存储速率的2倍,即60 MB/s;对控制单元FPGA内部双端口RAM的逻辑设计进行改... 针对遥测系统数据记录装置中数据传输速率与存储速率不匹配的问题,提出Flash的并行存储方案,采用交替双平面的编程方式可以使得存储器的存储速率达到单片Flash最高存储速率的2倍,即60 MB/s;对控制单元FPGA内部双端口RAM的逻辑设计进行改进,解决了数据存储异常的现象。在数据回收方面,提出了多备份的设计思想和备用读数接口的设计方案,已在工程应用中得到成功实践,验证了该数据记录装置的可靠性。 展开更多
关键词 并行存储 fpga 双端口 RAM 逻辑推断 多备份
下载PDF
基于FPGA/CPLD的占空比为1∶n的n分频器的设计 被引量:4
5
作者 何静 李清峰 《现代电子技术》 2006年第8期17-18,共2页
CPLD和FPGA都是可编程逻辑器件,利用他们进行数字系统设计具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在线检验等优点。Verilog HDL是目前应用最为广泛的硬件描述语言之一,可以用来进行各... CPLD和FPGA都是可编程逻辑器件,利用他们进行数字系统设计具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在线检验等优点。Verilog HDL是目前应用最为广泛的硬件描述语言之一,可以用来进行各种层次的逻辑设计,也可以进行数字系统的逻辑综合、仿真验证和时序分析。简要介绍了CPLD/FPGA器件的特点和应用范围,并以占空比为1∶5的5分频器的设计为例,介绍了在Max+Plus II开发软件下,利用Verilog HDL硬件描述语言设计数字逻辑电路的过程和方法,最后给出了仿真波形。 展开更多
关键词 Verilog HDL CPLD/fpga数字逻辑电路设计 占空比 n分频器
下载PDF
基于FPGA的数控系统圆弧插补控制模块化设计 被引量:3
6
作者 沈孟锋 羊荣金 +2 位作者 陈敏捷 何剑敏 张学良 《机床与液压》 北大核心 2021年第8期88-92,共5页
针对数据采样圆弧插补算法进给速度慢、加工效率低等问题,提出一种模拟可配置电子凸轮箱的插补方法,构建了圆弧插补算法模型。采用硬件描述语言设计圆弧插补模块,基于FPGA控制系统建立多轴联动的运动控制实验平台。实验数据表明:当圆弧... 针对数据采样圆弧插补算法进给速度慢、加工效率低等问题,提出一种模拟可配置电子凸轮箱的插补方法,构建了圆弧插补算法模型。采用硬件描述语言设计圆弧插补模块,基于FPGA控制系统建立多轴联动的运动控制实验平台。实验数据表明:当圆弧路径的半径为10000个脉冲当量时,电机运行的误差峰值为11.4个,误差为0.114%;当圆弧路径的半径为15000个脉冲当量时,电机运行的误差峰值为13.2个,误差为0.088%。误差峰值出现在伺服电机换向时,是由其自身的机械特性和传动刚性所致。结果表明:电子凸轮箱式插补算法的插补轴并行独立,因此其速度快、精度高、可靠性强;通过调节各插补轴分量形成各类圆弧轨迹路径,因此方便、灵活且柔性高。 展开更多
关键词 fpga 电子凸轮箱 圆弧插补 逻辑设计
下载PDF
基于FPGA芯片和EDA技术的逻辑分析仪系统设计 被引量:12
7
作者 陈新华 范炜琳 +3 位作者 王成义 张建立 赵义珂 黄泊 《微电子学与计算机》 CSCD 北大核心 2004年第7期177-180,共4页
应用EDA技术和FPGA芯片设计完成了逻辑分析仪,简要介绍了该分析仪中各个模块的设计特点和功能,以及模块选择的方式和根据。
关键词 EDA设计仿真 硬件描述语言 fpga 逻辑分析仪
下载PDF
FPGA设计中时钟设计的探讨 被引量:6
8
作者 段有为 《无线电工程》 2007年第5期62-64,共3页
在FPGA设计过程中,稳定可靠的时钟是系统稳定可靠的重要条件。探讨了FPGA设计过程中5个不同的时钟设计方案,对这些不同方案的优点、缺点和在设计中需要注意的问题进行了分析,并提出了一些合理建议。有利于FPGA设计人员在较短的时间内掌... 在FPGA设计过程中,稳定可靠的时钟是系统稳定可靠的重要条件。探讨了FPGA设计过程中5个不同的时钟设计方案,对这些不同方案的优点、缺点和在设计中需要注意的问题进行了分析,并提出了一些合理建议。有利于FPGA设计人员在较短的时间内掌握FPGA时钟设计技术。 展开更多
关键词 fpga 时钟设计 逻辑 触发器
下载PDF
基于FPGA的32位ALU的设计与实现 被引量:2
9
作者 孔哲 王伟 《信息技术》 2013年第12期121-125,共5页
针对FPGA运算速度快,设计灵活的特点,提出了一种新颖的利用可编程逻辑器件FPGA和硬件描述语言VHDL实现的功能齐全的32位ALU的方法。该ALU具备4种算术运算,9种逻辑运算,4种移位运算以及比较、求补、奇偶校验等共20种运算。采用层次化设计... 针对FPGA运算速度快,设计灵活的特点,提出了一种新颖的利用可编程逻辑器件FPGA和硬件描述语言VHDL实现的功能齐全的32位ALU的方法。该ALU具备4种算术运算,9种逻辑运算,4种移位运算以及比较、求补、奇偶校验等共20种运算。采用层次化设计,给出了ALU的主要子模块,各模块均占用了较少的逻辑资源(LE),实现了节省资源与速度提升。通过QuartusII9.1进行编译,Modelsim6.5SE进行仿真,仿真结果与预期结果一致,将设计下载到Altera公司的EP2C35F484C6 FPGA中进行验证,证实了设计的可行性。实验结果表明,采用基于FPGA技术设计运算器灵活易修改,提高了设计效率。 展开更多
关键词 ALU fpga VHDL 逻辑资源 顶层设计
下载PDF
高性能FPGA设计
10
作者 于敦山 沈绪榜 方晨 《微电子学与计算机》 CSCD 北大核心 1997年第6期5-8,共4页
本文分析了FPGA的基本结构.提出了提高FPGA性能的两个途径:面向器件设计、最少布线设计,可大幅度提高FPGA设计的性能。
关键词 fpga 设计 逻辑单元 可编程逻辑器件
下载PDF
用Verilog HDL实现基于FPGA的通用分频器的设计 被引量:2
11
作者 罗浩 许艳 仲佳嘉 《科技广场》 2008年第10期215-216,共2页
在数字逻辑电路设计中,常常遇到一些对时钟分频的需求。本文实现了一种基于FPGA的软件化的分频方法,通过对不同的Verilog HDL语言程序语句进行比较分析和仿真综合。
关键词 数字逻辑电路设计 分频器 fpga VERILOG HDL
下载PDF
系统级FPGA芯片XCV50E的结构与开发
12
作者 卜佑军 来为国 胡明昕 《国外电子元器件》 2004年第12期33-35,共3页
VirtexE系列是XILINX公司生产的新型FPGA芯片 ,可用来进行数十万逻辑门级的系统设计和百兆赫兹级的高速电路设计。文中介绍了XCV50E芯片的结构特性、设计流程和配置过程 ,给出了具体的电路图和配置流程图。
关键词 系统级 fpga芯片 XILINX公司 高速电路设计 设计流程 E系列 逻辑门 配置 系统设计 赫兹
下载PDF
基于FPGA的光纤通道HBA卡设计与实现 被引量:2
13
作者 俞则人 柴小丽 陆伟 《信息技术》 2015年第10期206-209,共4页
光纤通道(Fibre Channel,简称FC)是一种为网络系统设计的接口技术,随着网络互联的发展,大量的图像、信号、音视频内容需要通过可靠的数据网络通信系统来快速、高效、稳定的传输,为满足这种需求,提出基于FPGA的光纤通道HBA卡(Host Bus Ad... 光纤通道(Fibre Channel,简称FC)是一种为网络系统设计的接口技术,随着网络互联的发展,大量的图像、信号、音视频内容需要通过可靠的数据网络通信系统来快速、高效、稳定的传输,为满足这种需求,提出基于FPGA的光纤通道HBA卡(Host Bus Adapter,主机总线适配器)设计方案。该方案以Xilinx的KC705开发板为平台,参考FC协议实现了其中的FC-1,FC-2层,实现普通帧和ASM帧的快速收发。同时设计了PCIe多通道DMA控制器,使处理器通过该控制器高效访问FC网络,在2.125G的链路带宽中,有效传输速率可达1.92Gb/s。方案给出了硬件结构图以及关键部分设计思想,并采用逻辑仿真和物理测试证明该设计的正确性与有效性。 展开更多
关键词 PCIe协议 FC协议 主机总线适配器 fpga逻辑设计
下载PDF
基于FPGA的图像自适应加权均值滤波设计 被引量:6
14
作者 武昊男 储成群 +1 位作者 任勇峰 焦新泉 《电子技术应用》 2019年第3期32-35,41,共5页
针对CMOS图像传感器采集图像过程中的噪声预处理问题,提出一种在FPGA中实现的可配置的自适应加权均值滤波模块设计方案。该模块通过检测滤波窗口内不同方向的方差来确定纹理方向,从而自动生成相应的加权系数,可以对宽度不超过4 094像素... 针对CMOS图像传感器采集图像过程中的噪声预处理问题,提出一种在FPGA中实现的可配置的自适应加权均值滤波模块设计方案。该模块通过检测滤波窗口内不同方向的方差来确定纹理方向,从而自动生成相应的加权系数,可以对宽度不超过4 094像素的图像进行流水线式的加权均值滤波处理,达到去噪保边的目的。最后经过实验验证,当图像宽度为2 048时,输入输出延时仅为42.04μs,满足实时性要求,且滤波后的图像噪点明显减少,纹理边缘毛刺消失,能较好地改善图像质量。 展开更多
关键词 fpga CMOS图像传感器 均值滤波 固定噪声 逻辑设计 图像处理
下载PDF
一种基于FPGA的逻辑分析仪触发电路的设计 被引量:1
15
作者 杜晓斌 陈兴文 《现代电子技术》 2004年第11期37-39,共3页
介绍数字逻辑分析仪触发电路的工作原理 ,讨论了 EDA技术实现触发电路设计的方法 。
关键词 逻辑分析仪 fpga 触发电路 设计
下载PDF
基于FPGA的数字逻辑器件开发及优化设计 被引量:7
16
作者 戴泰初 阙沛文 《微电子学》 CAS CSCD 北大核心 2002年第5期397-400,共4页
介绍了基于现场可编程门阵列 ( FPGA)的数字逻辑器件开发及其电子设计自动化方法 ,详细讨论了在 MAX+ plus II环境下有效地提高开发数字逻辑电路效率的优化设计方法。
关键词 现场可编程逻辑门阵列 数字逻辑器件 硬件描述语言 电子设计自动化
下载PDF
C程序映射到FPGA的寄存器快速评估技术
17
作者 朱国辉 张晶 武继刚 《小型微型计算机系统》 CSCD 北大核心 2015年第2期310-315,共6页
在基于FPGA的软硬件协同设计中,对硬件面积和延迟时间进行快速准确地评估是快速生成片上异构多处理器系统的关键步骤.使用传统的逻辑综合工具将会耗费大量的时间才能获得面积-时间的度量值,导致在软硬件协同设计流程中,抑制了设计空间... 在基于FPGA的软硬件协同设计中,对硬件面积和延迟时间进行快速准确地评估是快速生成片上异构多处理器系统的关键步骤.使用传统的逻辑综合工具将会耗费大量的时间才能获得面积-时间的度量值,导致在软硬件协同设计流程中,抑制了设计空间的有效探索.本文关注将C程序映射到FPGA,对寄存器数量进行快速和准确的评估.提出的技术以高级综合工具Leg Up和底层虚拟机LLVM为基础,利用信号位宽优化信息、特殊指令信息以及编码方式对寄存器进行评估.实验结果表明,该技术能够对CHStone基准测试程序进行寄存器数量的评估;以Altera CycloneⅡ和StratixⅣFPGA为平台,实验结果的误差分别只有13.75%和10.48%,与使用Quartus工具的逻辑综合运行时间相比,能够实现84倍的加速. 展开更多
关键词 fpga 高级综合 逻辑综合 设计度量 寄存器快速评估
下载PDF
基于FPGA的CAN总线控制器设计 被引量:5
18
作者 纪振平 王航 《沈阳理工大学学报》 CAS 2019年第4期30-34,共5页
CAN总线作为当前应用最为广泛的现场总线之一,在医疗设备领域有着大量的应用。基于电子计算机断层扫描设备(CT)中电子系统所采用的控制器FPGA,通过新型的结构设计和时序逻辑设计,对传统的FPGA-CAN总线控制器进行改进。设计遵循CAN2.0A协... CAN总线作为当前应用最为广泛的现场总线之一,在医疗设备领域有着大量的应用。基于电子计算机断层扫描设备(CT)中电子系统所采用的控制器FPGA,通过新型的结构设计和时序逻辑设计,对传统的FPGA-CAN总线控制器进行改进。设计遵循CAN2.0A协议,相较传统的设计方式,集成度更高,设计更为精简,避免了对FPGA内部资源的浪费,提高了CAN通信节点的稳定性。通过对比FPGA内部的资源占用和双机通信的方式进行测试,结果验证了设计的可行性。 展开更多
关键词 fpga 电子计算机断层扫描设备 时序逻辑设计
下载PDF
基于FPGA的逻辑分析仪触发电路的设计
19
作者 闫晓艳 曾光宇 《科技情报开发与经济》 2006年第1期243-245,共3页
EDA技术的广泛应用大大缩短了电子产品的开发周期。在分析逻辑分析仪工作原理的基础上,讨论了其触发电路模块的工作原理及其FPGA的实现方法,并给出了部分电路的程序设计及仿真结果。
关键词 逻辑分析仪 fpga 触发电路 程序设计 仿真结果
下载PDF
DYNAMIC LABELING BASED FPGA DELAY OPTIMIZATION ALGORITHM
20
作者 吕宗伟 林争辉 张镭 《Journal of Shanghai Jiaotong university(Science)》 EI 2001年第2期224-226,共3页
DAG-MAP is an FPGA technology mapping algorithm for delay optimization and the labeling phase is the algorithm’s kernel. This paper studied the labeling phase and presented an improved labeling method. It is shown th... DAG-MAP is an FPGA technology mapping algorithm for delay optimization and the labeling phase is the algorithm’s kernel. This paper studied the labeling phase and presented an improved labeling method. It is shown through the experimental results on MCNC benchmarks that the improved method is more effective than the original method while the computation time is almost the same. 展开更多
关键词 logic synthesis fpga technology mapping VLSI electronic design automation
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部