期刊文献+
共找到473篇文章
< 1 2 24 >
每页显示 20 50 100
A beam position measurement system of fully digital signal processing at SSRF 被引量:3
1
作者 YAN Han ZHAO Lei +7 位作者 LIU Shubin CHEN Kat WU Weihao AN Qi LENG Yongbin YI Xing YAN Yingbing LAI Longwei 《Nuclear Science and Techniques》 SCIE CAS CSCD 2012年第2期75-82,共8页
This fully digital beam position measurement instrument is designed for beam position monitoring and machine research in Shanghai Synchrotron Radiation Facility. The signals received from four position-sensitive detec... This fully digital beam position measurement instrument is designed for beam position monitoring and machine research in Shanghai Synchrotron Radiation Facility. The signals received from four position-sensitive detectors are narrow pulses with a repetition rate up to 499.654 MHz and a pulse width of around 100 ps, and their dynamic range could vary over more than 40 dB in machine research. By the employment of the under-sampling technique based on high-speed high-resolution A/D conversion, all the processing procedure is performed fully by the digital signal processing algorithms integrated in one single Field Programmable Gate Array. This system functions well in the laboratory and commissioning tests, demonstrating a position resolution (at the turn by turn rate of 694 kHz) better than 7 μm over the input amplitude range of -40 dBm to 10 dBm which is well beyond the requirement. 展开更多
关键词 上海同步辐射装置 位置测量系统 信号处理技术 光束 数字信号处理算法 现场可编程门阵列 位置敏感探测器 光源
下载PDF
A Photolithography Process Design for 5 nm Logic Process Flow 被引量:2
2
作者 Qiang Wu Yanli Li +1 位作者 Yushu Yang Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2019年第4期45-55,共11页
With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 n... With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 nm,the minimum metal pitch(MPP)is around 30-32 nm.And the overlay budget is estimated to be 2.5 nm(on product overlay).Although the optical resolution of a 0.33NA exposure tool(such as ASML NXE3400)can reach below 32 nm pitch,stochastics in the EUV absorption in photoresists has limited its application to smaller pitches.For the CPP mentioned above,one can use 193 nm immersion lithography with Self-Aligned Double Patterning(SADP)technique to provide good image contrast(or CDU,LWR)as well as good overlay,as for the 10 and 7 nm generations.In the BEOL,however,the 30-32 pitch cannot be realized by a single EUV exposure with enough printing defect process window.If this pitch is to be done by 193 nm immersion lithography,more than 6-8 exposures are needed with very complicated overlay result.For EUV,this can be done through self-aligned LELE with both good CD and overlay control.We have done an optimization of the photolithographic process parameters for the typical metal with a self-developed aerial image simulator based on rigorous coupled wave analysis(RCWA)algorithm and the Abbe imaging routine with an EUV absorption model which describes stochastics.We have calibrated our model with wafer exposure data from several photoresists under collaboration with IMEC.As we have presented last year,to accommodate all pitches under a logic design rule,as well as to provide enough CDU for the logic device performance,in DUV lithography,a typical minimum exposure latitude(EL)for the poly and metal layers can be set at,respectively,18%and 13%.In EUV,due to the existence of stochastics,13%EL,which corresponds to an imaging contrast of 40%,seems not enough for the metal trenches,and to obtain an imaging contrast close to 100%,which yields an EL of 31.4%means that we need to relax minimum pitch to above 41 nm(conventional imaging limit for 0.33NA).This is the best imaging contrast a photolithographic process can provide to reduce LWR and stochastics.In EUV,due to the significantly smaller numerical apertures compared to DUV,the aberration impact can cause much more pronounced image registration error,in order to satisfy 2.5 nm total overlay,the aberration induced shift needs to be kept under 0.2 nm.We have also studied shadowing effect and mask 3D scattering effect and our results will be provided for discussion. 展开更多
关键词 5 nm Logic process EUV SADP self-aligned LELE RCWA stochastics mask 3D scattering
下载PDF
Technological optimization of fully mechanized caving mining face with large mining heights 被引量:1
3
作者 Lu-Jia FAN Sheng-Li YANG 《Journal of Coal Science & Engineering(China)》 2013年第3期290-294,共5页
Fully mechanized cave mining with large mining height is a new mining method, due to its large mining thickness and lower roadway excavation, the technology has been widely used in China's thick seam mining. In order... Fully mechanized cave mining with large mining height is a new mining method, due to its large mining thickness and lower roadway excavation, the technology has been widely used in China's thick seam mining. In order to improve the top-coal recovery ratio of fully mechanized cave mining with large mining height, a study was conducted on optimizing the caving process, based on the mechanized caving face 1302N in Longgu Coal Mine. This was achieved by improving the PFC numerical calculation methods, and establishing a more accurate model system. On this basis, the recovery ratio of the top coal in different drawing intervals and technologies was investigated in order to achieve a reasonable caving process. The top-coal tracking system was used for practical surveying of the recovery ratio of top coal. 展开更多
关键词 fully mechanized caving face large mining height caving process optimization numerical calculation by PFC top-coal tracking system
下载PDF
Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography
4
作者 Yushu Yang Yanli Li +2 位作者 Qiang Wu Jianjun Zhu Shoumian Chen 《Journal of Microelectronic Manufacturing》 2020年第1期17-22,共6页
5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and... 5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and the minimum metal pitch(MPP)is around 30~36 nm.Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography,it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers.Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit.Therefore,the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets.In the paper,we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow,including dummy poly cut versus metal gate cut approaches in the metal gate loops,self-aligned contact(SAC)versus brutally aligned contact(BAC)approaches,and also introduced the self-aligned double patterning approach in the lower metal processes.Based on the above evaluation,we will provide a recommendation for module's process development. 展开更多
关键词 5nm LOGIC process EUV metal gate cut SAC BAC self-aligned LELE
下载PDF
农产品加工副产物对育肥湖羊生长性能、养分表观消化率和血清生化指标的影响
5
作者 韦崟崟 杨兴泽 +5 位作者 宣泽义 阮莉珺 曹艳红 汪艳玲 陈少梅 林波 《中国饲料》 北大核心 2024年第9期156-161,共6页
为探究利用农产品加工副产物制作全混合日粮颗粒对育肥湖羊生长性能、养分表观消化率和血清生化指标的影响,试验选取体重为(27.73±0.22)kg、生长发育良好的育肥湖羊80只,随机分为2组,每组40只,试验前对湖羊进行检疫驱虫。对照组育... 为探究利用农产品加工副产物制作全混合日粮颗粒对育肥湖羊生长性能、养分表观消化率和血清生化指标的影响,试验选取体重为(27.73±0.22)kg、生长发育良好的育肥湖羊80只,随机分为2组,每组40只,试验前对湖羊进行检疫驱虫。对照组育肥湖羊饲喂常规原料加花生藤,试验组湖羊饲喂全混合非常规颗粒饲料补饲花生藤。预饲期7 d,正试期30 d,期间每天7:30、14:30进行投料,试验羊自由采食,自由饮水。结果显示:(1)与对照组相比,试验组末重提高了6.33%(P<0.001),平均日增重(ADG)提高了40%(P<0.001);从采食量数据来看,试验组的总干物质采食量(TDMI)比对照组提高了14.04%(P<0.001),其中粗料采食量无明显差异,试验组的颗粒料采食量比对照组提高了14.85%(P<0.001),而料重比(F/G)降低了18.55%(P<0.001);与对照组相比,试验组的饲料成本降低了22.31%(P<0.001),试验组日收入提高了39.66%(P<0.001),试验组毛盈利提高了2.5元/(头·d)(P<0.001);(2)与对照组相比,试验组的干物质消化率提高了4.76%,差异极显著(P<0.05),试验组粗蛋白质(CP)、中性洗涤纤维(NDF)和酸性洗涤纤维(ADF)消化率高于对照组,但差异不显著(P>0.05);(3)试验组的总蛋白(TP)、白蛋白(ALB)、球蛋白(GLOB)、葡糖糖(GLU)高于对照组,但差异不显著(P>0.05),试验组的总胆固醇(TC)较对照组降低了27.67%,且差异极显著(P<0.01),试验组的甘油三酯(TG)和尿素含量低于对照组,差异不显著(P>0.05),与对照组相比,试验组血清中免疫球蛋白A(IgA)、免疫球蛋白G(IgG)、免疫球蛋白M(IgM)含量分别提高了12.73%(P<0.01)、6.82%(P<0.01)、3.9%(P<0.05)。综上,利用农产品加工副产物制作全混合日粮颗粒,能够有效改善育肥湖羊的生长性能和健康状况,适合在规模化羊场进行推广。 展开更多
关键词 农产品加工副产物 全混合日粮颗粒 湖羊 生长性能 养分表观消化率 血液生化
下载PDF
560 dtex/72 f三叶形阻燃尼龙66 FDY生产工艺探讨 被引量:1
6
作者 张超 《合成纤维工业》 CAS 2024年第1期76-80,共5页
以相对黏度2.28的尼龙66切片为原料,共混添加氮系阻燃剂三聚氰胺氰尿酸盐(MCA)质量分数50%的阻燃母粒,在切片纺生产线上通过熔融纺丝生产560 dtex/72 f三叶形阻燃尼龙66全拉伸丝(FDY),探讨了主要生产工艺条件。结果表明:较佳的生产工艺... 以相对黏度2.28的尼龙66切片为原料,共混添加氮系阻燃剂三聚氰胺氰尿酸盐(MCA)质量分数50%的阻燃母粒,在切片纺生产线上通过熔融纺丝生产560 dtex/72 f三叶形阻燃尼龙66全拉伸丝(FDY),探讨了主要生产工艺条件。结果表明:较佳的生产工艺条件为添加阻燃母粒质量分数5%,采用18孔喷丝板、三叶形喷丝孔呈菱形排布,熔体管道温度270℃,纺丝箱体温度281℃,侧吹风速度0.5~0.6 m/s,第三热辊温度190℃,卷绕速度2600~2800 m/min;在较佳生产工艺条件下,生产过程稳定,产品优等品率为91%、满筒率为82%,纤维具有良好的力学性能及阻燃性能,其断裂强度4.4 cN/dtex、断裂伸长率40.7%、干热收缩率6.1%、条干不匀率1.2%、极限氧指数27.5%。 展开更多
关键词 尼龙66纤维 全拉伸丝 异形纤维 阻燃剂 生产工艺
下载PDF
High quality metal-quantum dot-metal structure fabricated with a highly compatible self-aligned process 被引量:1
7
作者 付英春 王晓峰 +4 位作者 马刘红 周亚玲 杨香 王晓东 杨富华 《Journal of Semiconductors》 EI CAS CSCD 2015年第12期42-47,共6页
A self-aligned process to fabricate a "metal-quantum dot-metal" structure is presented, based on an "electron beam lithography, thin film deposition and dry etching process". The sacrificial layers used can improv... A self-aligned process to fabricate a "metal-quantum dot-metal" structure is presented, based on an "electron beam lithography, thin film deposition and dry etching process". The sacrificial layers used can improve the lift-off process, and novel lithography layouts design can improve the mechanical strength of the fabricated nanostructures. The superiority of the self-aligned process includes low request for overlay accuracy, high compatibility with a variety of materials, and applicable to similar structure devices fabrication. Finally, a phase change memory with fully confined phase-change material node, with the length × width × height of 255 × 45 × 30 nm^3 was demonstrated. 展开更多
关键词 fully confined NANOCONTACTS self-aligned phase change random access memory
原文传递
涤纶POY/FDY复合丝环吹风冷却工艺探讨 被引量:1
8
作者 唐兵兵 《合成纤维工业》 CAS 2024年第2期92-96,共5页
以特性黏数0.65~0.68 dL/g的聚对苯二甲酸乙二酯熔体为原料,采用环吹风冷却方式,以两对热辊拉伸生产135 dtex/128 f涤纶预取向丝(POY)/全拉伸丝(FDY)复合丝,探讨了在环吹风冷却下无风区的设置、冷却工艺等对复合丝性能的影响。结果表明... 以特性黏数0.65~0.68 dL/g的聚对苯二甲酸乙二酯熔体为原料,采用环吹风冷却方式,以两对热辊拉伸生产135 dtex/128 f涤纶预取向丝(POY)/全拉伸丝(FDY)复合丝,探讨了在环吹风冷却下无风区的设置、冷却工艺等对复合丝性能的影响。结果表明:在缓冷加热器温度为290℃,无风区高度为120~140 mm,环吹风压力为30~40 Pa,风筒高度为185 mm的较佳环吹风冷却工艺条件下,生产的涤纶POY/FDY复合丝品质较好;相比侧吹风冷却方式,环吹风冷却方式生产的复合丝的条干不匀率变异系数下降38.9%,产品优等品率提高3.2%,毛丝降等率下降22.1%。 展开更多
关键词 涤纶 预取向丝 全拉伸丝 复合丝 环吹风 冷却工艺
下载PDF
基于数据驱动的离心泵轴承特征分析及寿命预测
9
作者 苏皓南 黄倩 +2 位作者 胡波 付强 朱荣生 《机电工程》 CAS 北大核心 2024年第6期941-955,共15页
离心泵是工业中能量转换和流体输送的核心设备,其部件滚动轴承的可靠性对整个机组的安全运行尤为关键。为了解决目前滚动轴承寿命预测问题,对滚动轴承剩余寿命的最佳预测方案进行了研究。首先,从数据驱动和试验出发,利用试验台采集所得... 离心泵是工业中能量转换和流体输送的核心设备,其部件滚动轴承的可靠性对整个机组的安全运行尤为关键。为了解决目前滚动轴承寿命预测问题,对滚动轴承剩余寿命的最佳预测方案进行了研究。首先,从数据驱动和试验出发,利用试验台采集所得的离心泵轴承正常及故障状态下的数据,分析了时域、频域、时频域各特征在不同工况中的表现差异,发现了时域特征、频域特征、小波包分解能量特征、完全自适应噪声完备集合经验模态分解(CEEMDAN)能量特征可以捕捉到不同工况下的故障信息;然后,以单调性、趋势性指标加权分数为依据,结合特征的敏感性分析结果,优选出了轴承在全寿命周期中表现突出的12个特征,经核主成分分析(KPCA)-长短期记忆网络(LSTM)降维处理后,构建出了能够表征离心泵轴承退化过程的一维特征量;最后,对比分析了LSTM网络、反向传播(BP)网络和卷积神经(CNN)网络的预测效果。研究结果表明:LSTM网络的均方根误差(RMSE)为0.402,平均绝对百分比误差(MAPE)为0.332,预测精度在三者中最好,模型平均训练时间为12.6 s,可见LSTM网络在预测精度及模型训练时间上更具优势。 展开更多
关键词 叶片式泵 滚动轴承 完全自适应噪声完备集合经验模态分解 核主成分分析 长短期记忆网络 轴承退化过程
下载PDF
胡家河煤矿综放工作面矿压显现规律预测及主控因素研究
10
作者 席国军 余智秘 +4 位作者 李亮 李小菲 丁自伟 刘江 张超凡 《工矿自动化》 CSCD 北大核心 2024年第1期138-146,共9页
现有工作面矿压显现规律预测方法中,基于数值模拟与统计回归的方法无法实现对工作面矿压显现规律的实时精准预测,深度学习方法存在超参数较多且难以设置、模型训练速度慢等问题。针对上述问题,以胡家河煤矿402102回采工作面采动过程中... 现有工作面矿压显现规律预测方法中,基于数值模拟与统计回归的方法无法实现对工作面矿压显现规律的实时精准预测,深度学习方法存在超参数较多且难以设置、模型训练速度慢等问题。针对上述问题,以胡家河煤矿402102回采工作面采动过程中监测到的煤体内部应力变化时序数据为基础,将基于粒子群优化的门控循环单元(PSO-GRU)应用到回采工作面矿压显现规律预测中。采用PSO算法对GRU进行优化,构建PSO-GRU模型,实现对超参数的自动寻优,从而提高GRU的训练速度和预测精度。以预测结果为依据,采用层次分析法建立402102回采工作面矿压主控因素评价指标体系,将顶板条件、回采工艺、煤层赋存、地质构造确定为影响工作面矿压的一级指标,进一步细分出具有代表性的14个二级指标。测试结果表明:(1)与未经优化的GRU模型相比,PSO-GRU模型的均方误差(MSE)降低了83.9%,均方根误差(RMSE)降低了59.8%,平均绝对误差(MAE)降低了59.0%,决定系数R2提升了28.9%。(2)PSO-GRU模型对矿压数据预测的拟合度达0.980以上,具有良好的非线性拟合能力和泛化能力。(3)地质条件中的煤层赋存因素对回采工作面矿压的影响最大,权重为0.47;可人为干预的影响因素中工作面推进速度对矿压的影响最大,权重为0.13。 展开更多
关键词 综放工作面 矿压显现规律预测 PSO-GRU模型 层次分析法 主控因素 评价指标体系 时间序列数据
下载PDF
工艺参数对 SLM 成形 CuCrZr 合金致密度和尺寸精度的影响 被引量:1
11
作者 甘宏海 杨光照 +4 位作者 蔡益勋 曹明轩 徐俊飞 钟鑫 高文华 《新技术新工艺》 2024年第1期59-68,共10页
为了研究工艺参数对SLM成形CuCrZr合金样件的致密度和尺寸精度的影响,通过响应曲面法建立回归方程模型,分析激光选区熔化技术的主要成形工艺参数(激光功率、扫描速度和扫描间距)对合金化CuCrZr粉末成形致密度及尺寸精度的演变规律的影... 为了研究工艺参数对SLM成形CuCrZr合金样件的致密度和尺寸精度的影响,通过响应曲面法建立回归方程模型,分析激光选区熔化技术的主要成形工艺参数(激光功率、扫描速度和扫描间距)对合金化CuCrZr粉末成形致密度及尺寸精度的演变规律的影响。结果显示:扫描间距对致密度的影响最大,而扫描速度对尺寸精度影响最大。增大激光功率,致密度先增大后减小,尺寸误差增大;增大扫描速度,致密度先增大后减小,尺寸误差先减小后增大;增大扫描间距,致密度和尺寸误差都减小。经过优化后,CuCrZr样件达到致密度99.102%,X和Y方向的尺寸误差均为0.045 mm,表面粗糙度Ra值为7.21μm。 展开更多
关键词 激光技术 工艺参数 致密度 尺寸精度 CUCRZR
下载PDF
近距离特厚煤层综放开采可行性及合理放煤工艺研究
12
作者 张伟 高鹏 +2 位作者 崔博 阿斯哈尔·尼亚孜别克 潘卫东 《工矿自动化》 CSCD 北大核心 2024年第11期161-168,共8页
目前针对近距离煤层厚度变化范围较大、煤层厚度超出正常开采高度的工作面的合理放煤工艺参数研究较少。以内蒙古平庄煤业(集团)有限责任公司西露天煤矿011N1^(-1)工作面为研究对象,开展了近距离特厚煤层综放开采可行性及合理放煤工艺... 目前针对近距离煤层厚度变化范围较大、煤层厚度超出正常开采高度的工作面的合理放煤工艺参数研究较少。以内蒙古平庄煤业(集团)有限责任公司西露天煤矿011N1^(-1)工作面为研究对象,开展了近距离特厚煤层综放开采可行性及合理放煤工艺研究。首先,通过理论分析计算出近距离特厚煤层上方021N2工作面开采后底板最大破坏深度为3.88 m,小于011N1^(-1)工作面与021N2工作面之间的距离,表明对下煤层011N1^(-1)工作面进行综放开采是可行的。然后,结合理论分析与现场实测,采用模糊数学方法量化了煤体单轴抗压强度、煤层埋深、煤层厚度、煤体裂隙发育程度、顶板级别及煤层夹矸厚度对顶煤冒放性的影响,基于隶属度函数评定011N1^(-1)工作面顶煤冒放性为中等水平。最后,基于PFC 2D离散元颗粒流软件建立了放煤数值模型,分析了不同采放比和放煤方式对顶煤采出率的影响,发现当煤层厚度超过正常开采高度时,将工作面采放比定为1∶4.5可有效适应煤层厚度变化,此时对顶煤采出率的影响较小,且采用三轮放煤工艺可有效提高顶煤采出率。现场放煤效果表明,优化放煤工艺后,随着煤层厚度的增大,增大采放比能够较好地适应地质条件变化,显著提高工作面顶煤采出量。 展开更多
关键词 近距离特厚煤层 综放开采 放煤工艺 顶煤冒放性 采放比 采出率
下载PDF
光伏玻璃自动包装线产线设计与研究 被引量:1
13
作者 汪指航 李志文 +5 位作者 朱新华 连笠欧 窦智 高谨 何磊 邵士飞 《建材世界》 2024年第4期52-55,共4页
论文主要介绍光伏玻璃自动包装线产线设计与研究。在光伏玻璃生产中,为能更加高效、快速地完成玻璃包装工作,并节省工人成本,采用全流水线设计的方式研发了一套自动包装线一体化打包线系统。论文阐述此自动化包装线产线结构设计、自动... 论文主要介绍光伏玻璃自动包装线产线设计与研究。在光伏玻璃生产中,为能更加高效、快速地完成玻璃包装工作,并节省工人成本,采用全流水线设计的方式研发了一套自动包装线一体化打包线系统。论文阐述此自动化包装线产线结构设计、自动化功能的实现、全自动包装线流水作业方式,并总结其优点。通过该自动包装线产线专机设备与传统人工打包作业的流程工艺对比分析,能够真实充分地反映出自动包装产线可靠、高效及实用性,能够很好地替代人工打包方式,将复杂繁琐的包装工作简单化。 展开更多
关键词 光伏玻璃 智能包装线 全自动生产线 工序流程设计
下载PDF
自动灯检机在药品安全检测中的应用 被引量:1
14
作者 丁鹏 王愚腾 +1 位作者 杨燕春 姚增辉 《自动化应用》 2024年第8期169-171,共3页
为了解决传统液体药品检测方法效率低、不精确的问题,开发了一种基于数字图像处理技术的全自动灯检机图像识别系统。通过分析药品图像,系统能够迅速检测任何异物微粒的存在,并确保液体药品的液位在合适的范围内。结果表明,与传统的人工... 为了解决传统液体药品检测方法效率低、不精确的问题,开发了一种基于数字图像处理技术的全自动灯检机图像识别系统。通过分析药品图像,系统能够迅速检测任何异物微粒的存在,并确保液体药品的液位在合适的范围内。结果表明,与传统的人工检测方法相比,该自动化技术显著降低了人工成本,大幅提高了药品安全性指数。 展开更多
关键词 全自动灯检机 药品检测 数字图像处理技术
下载PDF
基于工艺引擎的规划放煤控制系统
15
作者 姚钰鹏 商楚浩 刘清 《工矿自动化》 CSCD 北大核心 2024年第9期41-46,107,共7页
目前对综放智能化的研究主要聚焦于感知方面,对放煤过程智能化的研究较少,自动放煤控制技术存在自适应性不足、效率较低、放煤质量难以把控等问题。为了提升放煤过程的智能化水平与运行效率,设计了一种基于工艺引擎的规划放煤控制系统... 目前对综放智能化的研究主要聚焦于感知方面,对放煤过程智能化的研究较少,自动放煤控制技术存在自适应性不足、效率较低、放煤质量难以把控等问题。为了提升放煤过程的智能化水平与运行效率,设计了一种基于工艺引擎的规划放煤控制系统。该系统由放煤管控单元和窗口决策单元组成:规划放煤管控单元通过异步递进的放煤调度策略、柔性切换技术及规划放煤工艺编辑引擎,实现采煤机位置弱关联的自动顺序放煤及工艺在线编辑,通过关联后部刮板输送机负载,动态调整工艺启停,保障刮板输送机安全作业;窗口决策单元通过PID控制算法动态调节尾梁角度,实现放煤窗口反馈控制,采用遗传算法优化BP神经网络对放煤窗口大小进行智能决策,以适应不同工况,提高放煤质量。现场应用结果表明:基于异步递进的放煤调度策略与柔性切换技术提升了单刀自动运行效率,无需再手动接管;每一班组自动化运行刀数提升了33.3%;系统关联的后部刮板输送机负载、泵站等设备可动态调整工艺启停,每班后部刮板输送机平均停止次数下降了61.1%,可保障作业安全;工艺编辑引擎能适应多种场景下的应用,工艺调整用时大幅度降低;后部动作与前部动作相互叠加,使得单刀平均用时缩短了9.3%,提升了开采效率;倾角传感关联控制与规划放煤窗口智能决策将每日发热量提升了10.3%,改善了放煤质量。 展开更多
关键词 综放工作面 智能综放 规划放煤 放煤控制 工艺引擎 异步递进 柔性切换
下载PDF
石蜡除嗅装置模拟优化
16
作者 魏川林 《现代化工》 CAS CSCD 北大核心 2024年第S01期351-354,358,共5页
通过流程模拟计算石蜡除嗅装置中的石蜡嗅味物质含量,并结合文献报道数据及工业装置运行结果,确定石蜡嗅味物质含量≤1μg/g可满足《全精炼石蜡》标准对石蜡嗅味≯1.0号的要求。以限制脱嗅塔底嗅味物质含量为控制点,对除嗅装置塔顶压力... 通过流程模拟计算石蜡除嗅装置中的石蜡嗅味物质含量,并结合文献报道数据及工业装置运行结果,确定石蜡嗅味物质含量≤1μg/g可满足《全精炼石蜡》标准对石蜡嗅味≯1.0号的要求。以限制脱嗅塔底嗅味物质含量为控制点,对除嗅装置塔顶压力、塔进料温度、塔底汽提蒸汽量及塔顶回流量进行优化,可以降低除嗅装置蒸汽消耗,降低整个酮苯联合装置能耗,具有较好的节能效果,可为现有工业装置的操作优化和新建装置的设计提供借鉴。 展开更多
关键词 全精炼石蜡 除嗅工艺 嗅味物质 节能 优化 模拟
下载PDF
全自动牧草植物工厂设计与应用
17
作者 王志冉 周增产 +6 位作者 马铁 张栋 姚涛 胡福生 江鹏 张浩文 高一品 《大麦与谷类科学》 2024年第2期57-63,68,共8页
全自动牧草植物工厂是北京农业机械研究所有限公司结合牧草的栽培工艺特性与生长环境要求,以植物工厂技术为基础,集全自动立体栽培架、全自动播种机、收割和清洗设备以及消毒设备于一体,实现了牧草从浸种、催芽、播种、培育到收获的全... 全自动牧草植物工厂是北京农业机械研究所有限公司结合牧草的栽培工艺特性与生长环境要求,以植物工厂技术为基础,集全自动立体栽培架、全自动播种机、收割和清洗设备以及消毒设备于一体,实现了牧草从浸种、催芽、播种、培育到收获的全程自动化生产工艺流程,通过智能控制系统对环境因子精准控制,实现牧草生产的全自动化。牧草植物工厂还可通过更换定植板用于叶菜类育苗、多种芽苗菜种植生产等,实现一个“车间”多种用途,具有广阔的市场前景。 展开更多
关键词 大麦 植物工厂 全自动立体栽培架 智能装备 生产工艺
下载PDF
基于PFC的全长灌浆锚固系统渐进失效过程模拟
18
作者 刘秀军 马占国 《工程建设》 2024年第10期7-15,共9页
随着岩土锚固技术的飞速发展,锚固系统的变形破坏机理仍是当前的热点问题。鉴于PFC软件在模拟岩土体裂隙发育、扩展、失稳等非连续现象方面具有较明显的优越性,文章基于PFC5.0软件建立了全长灌浆锚固系统颗粒流计算分析模型,并根据室内... 随着岩土锚固技术的飞速发展,锚固系统的变形破坏机理仍是当前的热点问题。鉴于PFC软件在模拟岩土体裂隙发育、扩展、失稳等非连续现象方面具有较明显的优越性,文章基于PFC5.0软件建立了全长灌浆锚固系统颗粒流计算分析模型,并根据室内试验和现场拉拔试验结果对模型细观参数进行了标定,从位移场演化规律、应力场演化规律、裂隙扩展规律、组构变化规律以及能量变化等5个方面,系统地总结了全长灌浆锚固系统在拉拔荷载作用下的渐进失效特征。研究表明:PFC能够很好地应用于全长灌浆锚固系统等离散介质的模拟分析中,锚固系统内的位移场、应力场、裂隙、组构及能量等的变化规律均能反映其承载性能状况。 展开更多
关键词 颗粒流软件(PFC) 全长灌浆锚固系统 拉拔试验 渐进失效 数值模拟
下载PDF
综放回采工艺参数实测及采放工艺优化研究
19
作者 晋弘 《山西化工》 CAS 2024年第3期165-166,173,共3页
以某煤矿101综放工作面为例,通过对综放工作面的基本情况分析,认为其存在顶煤放落困难、放出率低的问题。针对上述出现的情况,通过现场实测、统计分析、数值模拟等一系列研究手段,从优化回采工艺参数入手,对101综放工作面的回采率和回... 以某煤矿101综放工作面为例,通过对综放工作面的基本情况分析,认为其存在顶煤放落困难、放出率低的问题。针对上述出现的情况,通过现场实测、统计分析、数值模拟等一系列研究手段,从优化回采工艺参数入手,对101综放工作面的回采率和回采效果进行了探讨,研究结果与方法可对该矿提升工作面的回采率以及下一步矿井安全高效开采提供依据。 展开更多
关键词 综放开采 回采工艺 参数实测 工艺优化
下载PDF
喷丝板微孔全自动加工方案设计及微孔钻削技术
20
作者 贾海轩 《科学技术创新》 2024年第4期39-42,共4页
结合喷丝板微孔加工需求设计喷丝板微孔全自动加工方案,首先设计数字化控制精密机械加工机床的硬件结构及控制系统,为解决喷丝板微孔加工刀具磨损补偿不及时、扁钻易折断、盲孔钻屑不易排三方面问题,提出刀具磨损补偿、刀具破损在线监... 结合喷丝板微孔加工需求设计喷丝板微孔全自动加工方案,首先设计数字化控制精密机械加工机床的硬件结构及控制系统,为解决喷丝板微孔加工刀具磨损补偿不及时、扁钻易折断、盲孔钻屑不易排三方面问题,提出刀具磨损补偿、刀具破损在线监测、盲孔排屑三个功能设计方案,再从底孔工艺参数确定、切削参数优化、扁钻选材及孔底加工对比、扁钻加工问题优化四个方面展开微孔钻削技术应用优化,旨在实现喷丝板微孔全自动加工目标,提高喷丝板微孔加工的质量及效率。 展开更多
关键词 喷丝板微孔 全自动加工 方案设计 微孔钻削
下载PDF
上一页 1 2 24 下一页 到第
使用帮助 返回顶部