期刊文献+
共找到156篇文章
< 1 2 8 >
每页显示 20 50 100
Compressive Sensing Approaches for Lithographic Source and Mask Joint Optimization 被引量:1
1
作者 Xu Ma Zhiqiang Wang Gonzalo R.Arce 《Journal of Microelectronic Manufacturing》 2018年第2期6-12,共7页
Source and mask joint optimization(SMO)is a widely used computational lithography method for state-of-the-art optical lithography process to improve the yield of semiconductor wafers.Nowadays,computational efficiency ... Source and mask joint optimization(SMO)is a widely used computational lithography method for state-of-the-art optical lithography process to improve the yield of semiconductor wafers.Nowadays,computational efficiency has become one of the most challenging issues for the development of pixelated SMO techniques.Recently,compressive sensing(CS)theory has be explored in the area of computational inverse problems.This paper proposes a CS approach to improve the computational efficiency of pixel-based SMO algorithms.To our best knowledge,this paper is the first to develop fast SMO algorithms based on the CS framework.The SMO workflow can be separated into two stages,i.e.,source optimization(SO)and mask optimization(MO).The SO and MO are formulated as the linear CS and nonlinear CS reconstruction problems,respectively.Based on the sparsity representation of the source and mask patterns on the predefined bases,the SO and MO procedures are implemented by sparse image reconstruction algorithms.A set of simulations are presented to verify the proposed CS-SMO methods.The proposed CS-SMO algorithms are shown to outperform the traditional gradient-based SMO algorithm in terms of both computational efficiency and lithography imaging performance. 展开更多
关键词 Computational lithography SOURCE mask optimization(SMO) COMPRESSIVE sensing(CS) INVERSE problem
下载PDF
Variational Level-set Formulation for Lithographic Source and Mask Optimization
2
作者 Yijiang Shen Zhenrong Zhang 《Journal of Microelectronic Manufacturing》 2018年第2期13-22,共10页
This paper addresses the contributing factors in lithographic source and mask optimization,namely,the accuracy of the image formation model and the efficiency of the inverse imaging calculations in the optimization fr... This paper addresses the contributing factors in lithographic source and mask optimization,namely,the accuracy of the image formation model and the efficiency of the inverse imaging calculations in the optimization framework.A variational level-set formulation is established to incorporate a distance regularization term and an external energy.The former maintains a signed-distance profile and the latter minimizes the sum of the mismatches between the printed image and the desired one over all locations.Hence the need of reinitialization is eliminated in a principle way securing a stable level-set evolution and accurate computation with a simpler and more efficient numerical implementation.We employ a vector imaging model together with a stratified media model to describe the vector nature of electromagnetic fields propagating in the coupling image formation.Several strategies including computing the convolution operation with Fast Fourier Transform,the electric-field caching technique and the conjugate gradient method are discussed to ease the computation load and improve convergence. 展开更多
关键词 computational lithography VARIATIONAL level set SOURCE and mask OPTIMIZATION coupling image
下载PDF
基于掩模光刻的液晶波前校正器设计与制备
3
作者 杜莹 陈梅蕊 +5 位作者 刘禹彤 曹宗新 毛红敏 李小平 孙会娟 曹召良 《中国光学(中英文)》 EI CAS CSCD 北大核心 2024年第2期324-333,共10页
液晶波前校正器通常基于液晶显示器的工艺制备而成,因此其研制成本高、定制难度大。本文基于掩模光刻法制备液晶波前校正器,以实现液晶波前校正器的专用化、低成本研制。基于掩模光刻技术设计并制备了91像素的无源液晶驱动电极,并封装... 液晶波前校正器通常基于液晶显示器的工艺制备而成,因此其研制成本高、定制难度大。本文基于掩模光刻法制备液晶波前校正器,以实现液晶波前校正器的专用化、低成本研制。基于掩模光刻技术设计并制备了91像素的无源液晶驱动电极,并封装成液晶光学校正单元。设计并制备了驱动连接电路板,实现了液晶光学驱动单元和驱动电路板的匹配对接。对液晶波前校正器响应特性进行检测。结果显示,其相位调制量为5.5个波长,响应时间为224 ms。利用Zygo干涉仪进行球面波的产生和静态倾斜像差的校正。结果显示,其可以产生正负离焦波前,且对水平倾斜像差校正后,Zernike多项式中第一项的值从1.18降至0.16,校正幅度达86%,实现了像差的有效校正。本文的研究工作可为液晶波前校正器的研制提供新思路,进而拓宽其应用领域和场景。 展开更多
关键词 液晶波前校正器 掩模光刻 波前 响应特性 像差校正
下载PDF
EUV Lithography: State-of-the-Art Review 被引量:2
4
作者 Nan Fu Yanxiang Liu +1 位作者 Xiaolong Ma Zanfeng Chen 《Journal of Microelectronic Manufacturing》 2019年第2期7-20,共14页
Although several years delayed than its initial plan, extreme UV lithography (EUVL) with 13.5nm wavelength has been finally implemented into high volume manufacture (HVM) of mainstream semiconductor industry since 201... Although several years delayed than its initial plan, extreme UV lithography (EUVL) with 13.5nm wavelength has been finally implemented into high volume manufacture (HVM) of mainstream semiconductor industry since 2018. With the delivery and installation of ASML EUV scanners in those giant Fab players like Samsung, TSMC and Intel, EUV lithography is becoming a sort of industry standard exposure metrology for those critical layers of advanced technology nodes beyond 7nm. Although ASML NXE EUVL scanner is the only commercialized EUV exposure system available on the market, its development is the concentration of all essence from worldwide industrial and academic collaboration. It is becoming more and more important not only for fab runners but also for main stream fabless design houses to understand and participate the progress of EUVL. In this review, working principles, module structures and technical challenges have been briefly discussed regarding each EUV subsystem, including light source, reflection mirrors and system, reticle module as well as photoresist development. EUV specific issues of light intensity, defectivity within reflection system, line edge roughness (LER) and mask 3D effects have been focused respectively and promising solutions have been summarized as well. 展开更多
关键词 EUV lithography EUV REVIEW mask 3D line edge ROUGHNESS EUV light source
下载PDF
At wavelength coherent scatterometry microscope using high-order harmonics for EUV mask inspection 被引量:2
5
作者 Yutaka Nagata Tetsuo Harada +2 位作者 Takeo Watanabe Hiroo Kinoshita Katsumi Midorikawa 《International Journal of Extreme Manufacturing》 2019年第3期1-12,共12页
In this review,we describe our research on the development of the 13.5 nm coherent microscope using high-order harmonics for the mask inspection of extreme ultraviolet(EUV)lithography.EUV lithography is a game-changin... In this review,we describe our research on the development of the 13.5 nm coherent microscope using high-order harmonics for the mask inspection of extreme ultraviolet(EUV)lithography.EUV lithography is a game-changing piece of technology for high-volume manufacturing of commercial semiconductors.Many top manufacturers apply EUV technology for fabricating the most critical layers of 7 nm chips.Fabrication and inspection of defect-free masks,however,still remain critical issues in EUV technology.Thus,in our pursuit for a resolution,we have developed the coherent EUV scatterometry microscope(CSM)system with a synchrotron radiation(SR)source to establish the actinic metrology,along with inspection algorithms.The intensity and phase images of patterned EUV masks were reconstructed from diffraction patterns using ptychography algorithms.To expedite the practical application of the CSM,we have also developed a standalone CSM,based on high-order harmonic generation,as an alternative to the SR-CSM.Since the application of a coherent 13.5 nm harmonic enabled the production of a high contrast diffraction pattern,diffraction patterns of sub-100 ns size defects in a 2D periodic pattern mask could be observed.Reconstruction of intensity and phase images from diffraction patterns were also performed for a periodic line-and-space structure,an aperiodic angle edge structure,as well as a cross pattern in an EUV mask. 展开更多
关键词 high-order harmonics coherent EUV light EUV lithography coherent EUV scatterometry microscope synchrotron radiation EUV mask inspection
下载PDF
Method Investigation for Fabricating Micro-Optical Elements by Use of Half Tone Masks
6
作者 Tong-Kai Zhao Qin Feng 《Journal of Electronic Science and Technology》 CAS 2010年第2期131-134,共4页
Several approaches to fabricate micro optical elements by use of half tone masks are studied and compared. It is shown that half tone masks employed in filtering image systems can obtain gray patterns with considerabl... Several approaches to fabricate micro optical elements by use of half tone masks are studied and compared. It is shown that half tone masks employed in filtering image systems can obtain gray patterns with considerably high precision, but it is hard to operate from the viewpoint of operation. The method using contacting lithography technology or laser ablation can be easily operated with the cost of reducing fabrication precision and the trouble of choosing appropriate materials. For all of these methods, the coding of half tone masks with corrections for the nonlinear characteristics of coding, imaging and photoresist is recommended. 展开更多
关键词 Index Terms --Contacting lithography half tone masks micro-optical elements optical filtering systems.
下载PDF
基于投影光刻技术的微透镜阵列加工方法
7
作者 龚健文 王建 +2 位作者 刘俊伯 孙海峰 胡松 《光电工程》 CAS CSCD 北大核心 2023年第12期81-90,共10页
本文提出了一种基于投影光刻技术的微透镜阵列制备方法,成功制备多种口径、面形及表面粗糙度均良好的微透镜阵列。该方法采用0.2倍投影物镜,降低掩模板制造成本,实现不同口径微透镜阵列制备。采用掩模移动滤波技术,在降低掩模制备复杂... 本文提出了一种基于投影光刻技术的微透镜阵列制备方法,成功制备多种口径、面形及表面粗糙度均良好的微透镜阵列。该方法采用0.2倍投影物镜,降低掩模板制造成本,实现不同口径微透镜阵列制备。采用掩模移动滤波技术,在降低掩模制备复杂性的同时,提高了微透镜阵列面形精度。本文对四种不同口径的微透镜阵列进行制备实验,分别为50μm、100μm、300μm、500μm,其表面形貌加工精度达到微米级,表面粗糙度达到纳米级。实验结果表明,该方法在微透镜阵列制造中具有很大的潜力,与传统方法相比,能够实现更低的线宽和更高的表面面形精度。 展开更多
关键词 微透镜阵列 投影光刻技术 掩模移动滤波技术
下载PDF
光刻机镜头漏光对光刻工艺的影响 被引量:1
8
作者 梁宗文 石浩 +2 位作者 王雯洁 王溯源 章军云 《电子与封装》 2023年第4期65-68,共4页
通过GaAs单片微波集成电路(MMIC)光刻工艺,试验得到不同掩模版透光区占空比下光刻机镜头的漏光率,分析了漏光率对曝光能量宽裕度以及光刻胶形状的影响。通过试验测量得出,掩模版透光区占空比的增加会导致曝光镜头的漏光率升高,进一步使... 通过GaAs单片微波集成电路(MMIC)光刻工艺,试验得到不同掩模版透光区占空比下光刻机镜头的漏光率,分析了漏光率对曝光能量宽裕度以及光刻胶形状的影响。通过试验测量得出,掩模版透光区占空比的增加会导致曝光镜头的漏光率升高,进一步使得光刻工艺的能量宽裕度变小。同时,过高的漏光率会造成显影后图形的光刻胶损失,使光刻胶图形的对比度变差,从而严重影响GaAs MMIC光刻工艺的图形质量,造成器件性能退化,降低产品良率。 展开更多
关键词 365 nm步进式光刻机 漏光 掩模版 GaAs单片微波集成电路
下载PDF
高集成度复杂光刻版版图的快速巡查与修正技术
9
作者 黄翔宇 纪宝林 马协力 《电子工业专用设备》 2023年第5期29-35,共7页
介绍了一种高集成度复杂光刻版版图的快速巡查与自动修正技术。其主要包括线条修复模块、逻辑处理模块和图形巡查模块。通过对L-edit软件嵌入式程序开发,实现版图中关键图形和易错位置的快速巡查与自动修正处理,大幅提高了先进掩模制造... 介绍了一种高集成度复杂光刻版版图的快速巡查与自动修正技术。其主要包括线条修复模块、逻辑处理模块和图形巡查模块。通过对L-edit软件嵌入式程序开发,实现版图中关键图形和易错位置的快速巡查与自动修正处理,大幅提高了先进掩模制造加工技术中高集成度复杂光刻版版图的核查准确度与处理效率。 展开更多
关键词 微光刻 光刻版 高集成度 快速巡查 自动修正
下载PDF
同步辐射光刻技术研究进展 被引量:4
10
作者 陈大鹏 叶甜春 +9 位作者 谢常青 李兵 董立军 胥兴才 赵玪莉 韩敬东 彭良强 伊福庭 韩勇 张菊芳 《核技术》 CAS CSCD 北大核心 2002年第10期817-821,共5页
光刻技术是推动集成电路制造业不断向前发展的关键技术 ,X射线光刻技术是下一代光刻技术的一种 ,具有产业化的应用前景。掩模技术是X射线光刻技术的难点 。
关键词 同步辐射 光刻技术 研究进展 X射线光刻 掩模 集成电路 制造
下载PDF
光刻技术的现状和发展 被引量:13
11
作者 姜军 周芳 +1 位作者 曾俊英 杨铁锋 《红外技术》 CSCD 北大核心 2002年第6期8-13,36,共7页
着重从涂胶、曝光 (包括光源和曝光方式等 )。
关键词 光刻技术 现状 涂胶 光刻机 分辨率 光刻胶 曝光 集成电路
下载PDF
可提高光刻分辨率的新技术 被引量:8
12
作者 罗先刚 姚汉民 +2 位作者 周冲喜 冯伯儒 陈旭南 《光子学报》 EI CAS CSCD 2000年第9期834-837,共4页
详细研究了离轴掩模的原理 ,将离轴照明 (OAI)与相移掩模 (PSM)技术结合起来 ,在掩模上同时实现两种功能 ,较大程度地提高了光刻分辨力 .实验表明 ,在数值孔径 0 .42 ,i线曝光波长下 ,可将光刻分辨力从 0 .8μm提高到 0 .5μm.
关键词 离轴照明 相移掩模 光刻分辨力 大规模集成电路
下载PDF
掩膜光刻法制备柔性抗蚀电子纸微杯及其性能表征 被引量:5
13
作者 王健 孟宪伟 +3 位作者 唐芳琼 任湘菱 任俊 张琳 《过程工程学报》 CAS CSCD 北大核心 2009年第4期813-818,共6页
采用液相光刻法,以光聚合材料体系制备了高性能电子纸微杯,研究了所用材料结构与性能的关系,并对其性能进行表征.采用丙烯酸酯材料,以含羧酸的低聚物交联所得的网状结构为抗蚀单元,以聚酯、聚氨酯、异冰片酯单元等为柔性附着力促进单元... 采用液相光刻法,以光聚合材料体系制备了高性能电子纸微杯,研究了所用材料结构与性能的关系,并对其性能进行表征.采用丙烯酸酯材料,以含羧酸的低聚物交联所得的网状结构为抗蚀单元,以聚酯、聚氨酯、异冰片酯单元等为柔性附着力促进单元,通过掩膜光刻法制得微杯结构.经测定该结构在100℃下对四氯乙烯强溶剂抗蚀气密性好,铅笔硬度为3H,卷曲直径为2~3mm,对导电聚酯基材T剥离强度(均值)达0.50N/mm,划格附着力达0级,适用于玻璃、聚酯基材上四氯乙烯为电泳介质的微杯电泳显示. 展开更多
关键词 掩膜光刻 柔性 抗蚀性 电子纸 微杯
下载PDF
LIGA技术基础研究 被引量:14
14
作者 梁静秋 姚劲松 《光学精密工程》 EI CAS CSCD 2000年第1期38-41,共4页
阐述了 LIGA技术的组成及特点。对 L IGA工艺掩膜、X射线光刻、电铸及塑铸等进行了工艺原理分析。用一次成型法制作了以聚酰亚胺为衬基、以 Au为吸收体的 X射线光刻掩膜。简单介绍了这种掩膜的制作工艺过程 ,并用这种掩膜在北京电子对... 阐述了 LIGA技术的组成及特点。对 L IGA工艺掩膜、X射线光刻、电铸及塑铸等进行了工艺原理分析。用一次成型法制作了以聚酰亚胺为衬基、以 Au为吸收体的 X射线光刻掩膜。简单介绍了这种掩膜的制作工艺过程 ,并用这种掩膜在北京电子对撞机国家实验室进行了同步辐射 X射线光刻 ,得到了深度为 5 0 0μm ,深宽比达 8.3的 PMMA材料的微型电磁马达联轴器结构。给出掩膜和 X射线光刻照片。同时 ,对 Au。 展开更多
关键词 LIGA技术 光刻 掩膜 电铸
下载PDF
电子束散射角限制投影光刻掩模研制 被引量:3
15
作者 杨清华 陈大鹏 +4 位作者 叶甜春 刘明 陈宝钦 李兵 董立军 《光电工程》 EI CAS CSCD 北大核心 2004年第4期13-16,共4页
掩模制作是电子束散射角限制投影光刻(SCALPEL)的关键技术。通过优化工艺,制作出具有“纳米硅镶嵌结构”的低应力SiNx薄膜作为支撑;开发了电子束直写胶图形的加法工艺,在支撑薄膜上得到清晰的钨 / 铬散射体图形。研制出的SCALPEL掩模,... 掩模制作是电子束散射角限制投影光刻(SCALPEL)的关键技术。通过优化工艺,制作出具有“纳米硅镶嵌结构”的低应力SiNx薄膜作为支撑;开发了电子束直写胶图形的加法工艺,在支撑薄膜上得到清晰的钨 / 铬散射体图形。研制出的SCALPEL掩模,其晶片尺寸为80mm,图形线宽达到0.1m,经缩小投影曝光得到78nm的图形分辨力。 展开更多
关键词 电子束光刻 掩模 投影光刻
下载PDF
新型光刻技术研究进展 被引量:16
16
作者 何立文 罗乐 +2 位作者 孟钢 邵景珍 方晓东 《激光技术》 CAS CSCD 北大核心 2019年第1期30-37,共8页
集成电路光刻作为传统光刻技术的典型代表,支撑着集成电路芯片的快速发展。新一代光刻技术具有工艺多样化、光刻精度高、光刻效率高的优点,在研发新型光电子器件、实现3维微纳结构、构建有序纳米孔通道等方面有很大的潜力。回顾了近些... 集成电路光刻作为传统光刻技术的典型代表,支撑着集成电路芯片的快速发展。新一代光刻技术具有工艺多样化、光刻精度高、光刻效率高的优点,在研发新型光电子器件、实现3维微纳结构、构建有序纳米孔通道等方面有很大的潜力。回顾了近些年来涌现的多种新型光刻技术,分析了各自的特征及在新型纳米电子、光子器件、能源、传感等领域中的应用。对未来光刻技术的发展方向进行了展望。 展开更多
关键词 激光技术 光学制造 新型光刻技术 无掩模光刻 掩模光刻 微纳结构
下载PDF
一种二元光学元件阵列微芯模的工艺设计研究 被引量:4
17
作者 龚勇清 刘智怀 +2 位作者 高益庆 罗宁宁 李平贵 《应用光学》 CAS CSCD 北大核心 2009年第2期304-308,共5页
提出一种二元光学元件微型芯模的工艺设计方法,该方法利用了数字调制器件(DMD芯片)的空间光调制特性。首先通过编程设计出二元光学器件的相关软件,以实现菲涅耳透镜、达曼光栅、龙基光栅等各种矢量图形,经过14倍精缩光学系统,将由DMD芯... 提出一种二元光学元件微型芯模的工艺设计方法,该方法利用了数字调制器件(DMD芯片)的空间光调制特性。首先通过编程设计出二元光学器件的相关软件,以实现菲涅耳透镜、达曼光栅、龙基光栅等各种矢量图形,经过14倍精缩光学系统,将由DMD芯片生成的二元光学器件图像成像在涂有光刻胶的基板上。经显影、定影和坚膜后,再利用电化学蚀刻,得到一种二元光学阵列的微芯模。这种二元光学的芯模制作方法可以方便、高效、低成本地用于制作微光学器件。 展开更多
关键词 光刻技术 数字掩膜 二元光学元件 蚀刻
下载PDF
高质量二维光子晶体结构刻蚀掩膜版的制作方法 被引量:4
18
作者 杜伟 许兴胜 +4 位作者 韩伟华 王春霞 张杨 杨富华 陈弘达 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2006年第9期1640-1644,共5页
结合制作光子晶体结构的具体要求,研究了电子束曝光得到的电子束胶上(GaAs衬底)随实验条件变化的图形.结果表明,胶的厚度、曝光剂量、显影/定影时间等参数对图形的质量有重要影响.通过合理优化这些参数,我们得到了高质量的掩膜图形.
关键词 光子晶体 电子束曝光 PMMA掩膜
下载PDF
接近式紫外光刻中图形失真的分析与预修正仿真 被引量:5
19
作者 李木军 沈连婠 +3 位作者 李晓光 赵玮 刘雳颋 郑津津 《机械工程学报》 EI CAS CSCD 北大核心 2008年第11期69-74,共6页
接近式紫外光刻中光刻胶图形形状失真是影响基于紫外光刻工艺的大深宽比微结构质量的重要因素之一。为把握其规律,并探寻减小光刻胶模型形状失真的对策,利用部分相干光理论建立光刻理论模型,计算光刻胶表面的光强分布及变化规律,模拟得... 接近式紫外光刻中光刻胶图形形状失真是影响基于紫外光刻工艺的大深宽比微结构质量的重要因素之一。为把握其规律,并探寻减小光刻胶模型形状失真的对策,利用部分相干光理论建立光刻理论模型,计算光刻胶表面的光强分布及变化规律,模拟得到的光刻胶图形轮廓明显地呈现拐角圆化和直边带毛刺等畸变现象。提出一种应用遗传算法的误差预补偿方法,在掩模图形的设计阶段,调整引起衍射的掩模特征形状以实现光刻胶表面的衍射光场调制,并根据光刻胶曝光轮廓特点,提出一种分段分类的思想,设计评价策略,减小问题的求解空间,实现了掩模设计图形的快速优化。结果表明优化补偿后的掩模图形降低了衍射造成的曝光图形的形状失真程度,图形质量得到了显著改善。该研究为提高接近式紫外光刻精度提供了一种新的思路。 展开更多
关键词 接近式紫外光刻 相干光 图形失真 遗传算法 掩模优化
下载PDF
基于模型的光学校正系统的设计与实现 被引量:6
20
作者 王国雄 严晓浪 +1 位作者 史峥 陈志锦 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2004年第5期521-524,548,共5页
为了使光刻结果更好地符合版图设计,保证在硅片上制造出的电路在功能上与设计电路一致,提出了一种对掩模进行自动补偿的系统性技术.根据光刻机和光刻胶特性,模拟了实际的光刻过程.校正处理的核心是基于模型的掩模图形优化模块,通过调用... 为了使光刻结果更好地符合版图设计,保证在硅片上制造出的电路在功能上与设计电路一致,提出了一种对掩模进行自动补偿的系统性技术.根据光刻机和光刻胶特性,模拟了实际的光刻过程.校正处理的核心是基于模型的掩模图形优化模块,通过调用光刻模拟器直接对输入待校正的掩模图形进行优化.最后通过对掩模版图的验证,保证校正后的掩模图形满足成像图形的精度要求.应用实例证明,该系统准确实现了版图的精确设计与校正. 展开更多
关键词 光刻模拟 光学邻近校正 移相掩模
下载PDF
上一页 1 2 8 下一页 到第
使用帮助 返回顶部