期刊文献+
共找到29篇文章
< 1 2 >
每页显示 20 50 100
音频总线I^2S技术 被引量:13
1
作者 李龙雨 冯志华 《信息技术》 2004年第11期23-25,共3页
随着总线技术的发展,音频总线I2S也将得到广泛的应用。主要介绍了音频总线I2S的基本规范,详细地介绍了引起数据传输时间延迟的主要方面,并给出了I2S总线的硬件构造。
关键词 I^2s规范 数据传输延迟 I^2s硬件构造
下载PDF
基于I^2S的USB声卡系统设计
2
作者 闵华松 孙程建 《电子设计应用》 2007年第2期66-68,共3页
本文介绍了基于S3C2410处理器平台,利用I2S总线的USB声卡系统设计。详细阐述了USB声卡通讯的实现,并且根据I2S总线DMA传输的特点实现了环形缓冲区,以提高系统性能,满足音频实时性的要求。
关键词 UsB声卡 s3C2410 I^2s
下载PDF
一种高质量的多通道I^2S与TDM128音频信号转换接口电路设计 被引量:4
3
作者 黄骏雄 江先阳 常胜 《科学技术与工程》 北大核心 2015年第18期195-198,218,共5页
音频数据在传输中会采用多种不同的格式,为了应用方便,这些不同格式需要统一桥接到一些应用广泛的标准接口上。一般来说,由于物理接口的限制,这种转换无法采用软件方式实现,只能通过价格昂贵且具有很多冗余功能的芯片实现。为了更加经... 音频数据在传输中会采用多种不同的格式,为了应用方便,这些不同格式需要统一桥接到一些应用广泛的标准接口上。一般来说,由于物理接口的限制,这种转换无法采用软件方式实现,只能通过价格昂贵且具有很多冗余功能的芯片实现。为了更加经济而有效的实现这一工程问题,针对应用广泛的I2S接口,设计了一种多通道的I2S与TDM128音频信号的转换接口,该接口转换芯片占用资源少同时支持多个通道数据的传输,能满足实际的产品需要,在一块低成本的FPGA上进行了实物测试,并转化为了商用产品。 展开更多
关键词 音频信号 I^2s格式 时分复用技术 多通道 转换接口
下载PDF
基于FPGA实现的PCI-I^2S接口转换电路 被引量:1
4
作者 王丹宇 孙万蓉 +1 位作者 成龙 魏雪松 《电子科技》 2015年第5期50-52,56,共4页
提出了一种基于FPGA实现的PCI-I2S音频系统方法。通过在FPGA中将PCI软核、FIFO以及设计的接口电路等相结合,在FPGA上实现了PCI、I2C、I2S等多种总线,并且结合音频解码器实现了不同采样频率语音数据的传输以及播放功能。系统充分利用FPG... 提出了一种基于FPGA实现的PCI-I2S音频系统方法。通过在FPGA中将PCI软核、FIFO以及设计的接口电路等相结合,在FPGA上实现了PCI、I2C、I2S等多种总线,并且结合音频解码器实现了不同采样频率语音数据的传输以及播放功能。系统充分利用FPGA的片上资源及其可编程特性,减少了硬件电路的复杂度。 展开更多
关键词 PCI总线 FPGA I^2s 音频
下载PDF
一种I^2S音频数据处理电路的FPGA设计 被引量:3
5
作者 赵海亮 李琦 《桂林电子科技大学学报》 2016年第6期461-465,共5页
为满足现代汽车电子系统成本低、灵活性高和扩展性强的设计要求,基于FPGA,设计了一种I2S音频数据处理电路。以I2S协议为基础,采用ZYNQ-7000开发平台,为音频解码芯片AUDU1761与CPU完成音频数据通信,实现了音频输入和输出功能。为音频解... 为满足现代汽车电子系统成本低、灵活性高和扩展性强的设计要求,基于FPGA,设计了一种I2S音频数据处理电路。以I2S协议为基础,采用ZYNQ-7000开发平台,为音频解码芯片AUDU1761与CPU完成音频数据通信,实现了音频输入和输出功能。为音频解码设计独立时钟,解决了传统设计中占用CPU过多的问题,同时可以降低失真。仿真结果表明,电路设计合理,可实现对音频数据的接收和发送功能。 展开更多
关键词 I^2s FPGA ZYNQ 独立时钟
下载PDF
基于I^2S音频接口模块的FPGA设计与应用 被引量:3
6
作者 周虹 《现代电子技术》 2007年第22期127-129,共3页
随着数字音频技术的发展,音频接口设计显得越来越重要。基于I2S音频数据传输协议设计了一种简易的音频接口,该接口可全双工传输I2S数据,并集成了一个SPI接口以传输控制信号。该设计通过FPGA得到实现,试验证明能够协调GARFIELD4和TLV320A... 随着数字音频技术的发展,音频接口设计显得越来越重要。基于I2S音频数据传输协议设计了一种简易的音频接口,该接口可全双工传输I2S数据,并集成了一个SPI接口以传输控制信号。该设计通过FPGA得到实现,试验证明能够协调GARFIELD4和TLV320AIC23B两款特定芯片之间音频数据的传输。 展开更多
关键词 I^2s sPI 音频接口 FPGA
下载PDF
基于I^2S接口的FPGA的音频数据传输 被引量:3
7
作者 林嘉 陈素琼 苏凯雄 《电气技术》 2018年第12期6-10,共5页
4K原始数据转换成4路3G-SDI,需对音、视频进行分别处理。本文设计了一种I^2S音频数据处理电路,以I^2S协议为基础,使用HV2芯片和FPGA开发板,实现I^2S音频数据的传输,解决跨时钟域传输数据的问题。利用CTS生成器改善电源抑制,降低输出抖... 4K原始数据转换成4路3G-SDI,需对音、视频进行分别处理。本文设计了一种I^2S音频数据处理电路,以I^2S协议为基础,使用HV2芯片和FPGA开发板,实现I^2S音频数据的传输,解决跨时钟域传输数据的问题。利用CTS生成器改善电源抑制,降低输出抖动和噪声性能。仿真测试表明音频数据能够被完整的传输。 展开更多
关键词 I^2s协议 FPGA HV2 芯片 跨时钟域 CTs 生成器
下载PDF
TUSB3200和I^2S总线在小型实时控制系统中的应用
8
作者 张长会 冯志华 +1 位作者 李龙雨 刘志刚 《测控技术》 CSCD 2005年第8期21-23,共3页
介绍一种便携式小型控制系统的设计实例,便携式是数字化仪器的发展方向之一。给出了一种基于USB接口和I2S总线的小型控制系统的结构并予以实现,主要介绍了控制系统的数据采集部分。数据采集部分采用AD7862芯片,具有高速的数据采集能力,... 介绍一种便携式小型控制系统的设计实例,便携式是数字化仪器的发展方向之一。给出了一种基于USB接口和I2S总线的小型控制系统的结构并予以实现,主要介绍了控制系统的数据采集部分。数据采集部分采用AD7862芯片,具有高速的数据采集能力,可编程逻辑CPLD的采用,使得系统具有较强的可扩展性。其设计思想不仅可以用在A/D变换上,同时也可以用在D/A、数字I/O等控制场合。 展开更多
关键词 复杂可编程逻辑器件 音频总线 模数转换器 UsB
下载PDF
基于USB和I^2S总线的高速数据采集系统设计
9
作者 李龙雨 冯志华 +1 位作者 刘志刚 张长会 《机械与电子》 2005年第5期44-46,共3页
介绍一种基于USB和I2S总线的高速数据采集系统的结构.系统采用AD7862芯片,具有高速的数据采集能力,采用可编程逻辑CPLD,使得系统具有较强的可扩展性.其设计思想不仅可以用在/D变换上,同时也可以用在D/A,数字I/O和通讯等控制场合.
关键词 复杂可编程逻辑器件 音频总线 数模转化器 声卡 UsB
下载PDF
Kinetis微控制器eDMA和I^2S的音频接口设计
10
作者 李晶皎 荣超群 刁丽芳 《单片机与嵌入式系统应用》 2013年第1期41-44,共4页
本文给出基于Kinetis MK60N512微控制器和16位/24位音频数模转换器MAX5556的立体声音频接口设计。MK60N512由I2S总线向MAX5556传输音频数据,输出音频信号经有源滤波器进行滤波,保证音频质量的同时提高其带负载能力。由MK60N512内部高性... 本文给出基于Kinetis MK60N512微控制器和16位/24位音频数模转换器MAX5556的立体声音频接口设计。MK60N512由I2S总线向MAX5556传输音频数据,输出音频信号经有源滤波器进行滤波,保证音频质量的同时提高其带负载能力。由MK60N512内部高性能可编程的增强型DMA向I2S模块传送音频数据,减轻微控制器内核的负担。 展开更多
关键词 KINETIs MK60N512 MAX5556 增强型DMA I2s总线
下载PDF
利用LPC2300系列ARM芯片的I^2S实现WAV文件播放
11
作者 涂立 谭跃 《计算机与数字工程》 2009年第4期151-154,共4页
I2S(Inter-IC Sound Bus,集成电路内置音频总线)是工业领域或嵌入式系统领域常用的音频总线之一,它只处理音频数据,而其它信号如编码、控制等单独传送。使用I2S总线bus和支持该接口的编解码芯片连接,从而构建一个嵌入式音频系统。
关键词 音频总线 I2s 嵌入式系统
下载PDF
基于DSP的I^2S数字音频接口设计
12
作者 张秀丽 《电声技术》 2007年第4期38-40,共3页
从软件和硬件两方面设计了一种简单、实用的24bit音频编解码器TLC320AD77与DSP之间的I2S数字音频接口电路。该方法中TLC320AD77与DSP串行口直接相连,不需要占用并行数据总线,避免总线冲突。此方法对于开发、研制基于通用DSP芯片的音效... 从软件和硬件两方面设计了一种简单、实用的24bit音频编解码器TLC320AD77与DSP之间的I2S数字音频接口电路。该方法中TLC320AD77与DSP串行口直接相连,不需要占用并行数据总线,避免总线冲突。此方法对于开发、研制基于通用DSP芯片的音效处理器具有重要意义。 展开更多
关键词 音频编解码器 Fs数字音频接口 数字信号处理
下载PDF
嵌入式系统中I^2S总线数据通信的软件模拟 被引量:1
13
作者 闫红超 《单片机与嵌入式系统应用》 2010年第8期32-34,共3页
对I2S总线规范进行了介绍,分析了I2S总线时序;对以太网数字语音广播系统中的语音解码芯片MS6336的数据传输格式进行了分析,并给出了软件模拟实现I2S总线完成MCU和MS6336间语音数据传输的详细过程。结果表明,软件模拟实现I2S总线具有现... 对I2S总线规范进行了介绍,分析了I2S总线时序;对以太网数字语音广播系统中的语音解码芯片MS6336的数据传输格式进行了分析,并给出了软件模拟实现I2S总线完成MCU和MS6336间语音数据传输的详细过程。结果表明,软件模拟实现I2S总线具有现实可行性。 展开更多
关键词 I2s总线 软件模拟 Ms6336
下载PDF
基于ThreadX系统的I^2S音频总线驱动设计方案 被引量:1
14
作者 刘伍洋 《单片机与嵌入式系统应用》 2018年第1期61-66,共6页
I2S总线是重要的一种负责音频处理的总线,通过该总线,嵌入式处理器就可以与外部音频芯片进行数据传输。本文在结合实际项目需求情况下,论述ThreadX系统下I2S音频总线驱动的设计方案,在介绍ThreadX操作系统及I2S音频系统之后,主要侧重于... I2S总线是重要的一种负责音频处理的总线,通过该总线,嵌入式处理器就可以与外部音频芯片进行数据传输。本文在结合实际项目需求情况下,论述ThreadX系统下I2S音频总线驱动的设计方案,在介绍ThreadX操作系统及I2S音频系统之后,主要侧重于分析I2S接口控制音频数据的录入与播放模式驱动的开发。详细说明I2S接口驱动程序开发的流程,最后利用ASIC仿真环境进行了数据逻辑测试,验证了I2S接口驱动程序可移植到ThreadX系统中的可行性。 展开更多
关键词 I2s总线 ThreadX 音频芯片 驱动程序
下载PDF
一种基于SoC的I^(2)S控制器的设计与实现 被引量:1
15
作者 刘先博 顾大晔 王媛 《中国集成电路》 2021年第5期22-25,共4页
本文介绍了一种基于SoC的I^(2)S控制器硬件设计,通过SoC的CPU可将I(2)S控制器配置为Master或Slave,16/24/32bit采样位等,该I(2)S控制器支持常用8KHz、48KHz等常用音频采样频率,利用verilog硬件描述语言实现整体设计,并利用仿真编译工具... 本文介绍了一种基于SoC的I^(2)S控制器硬件设计,通过SoC的CPU可将I(2)S控制器配置为Master或Slave,16/24/32bit采样位等,该I(2)S控制器支持常用8KHz、48KHz等常用音频采样频率,利用verilog硬件描述语言实现整体设计,并利用仿真编译工具对硬件功能进行验证,SoC可通过该模块可实现与外接音频设备如解码芯片进行音频数据传输。 展开更多
关键词 I^(2)s控制器 sOC 音频设备
下载PDF
基于USB3200的便携式高速数据采集仪
16
作者 李龙雨 冯志华 +1 位作者 刘志刚 张长会 《工业控制计算机》 2005年第8期18-19,共2页
便携式是数字化仪器的发展方向之一。介绍一种基于USB3200的便携式高速数据采集仪的设计实例,数据采集仪配置AD7862芯片,可以满足多种生产现场信号的高速数据采集工作。可编程逻辑CPLD的采用,使得系统具有较强的可扩展性。详细地介绍了... 便携式是数字化仪器的发展方向之一。介绍一种基于USB3200的便携式高速数据采集仪的设计实例,数据采集仪配置AD7862芯片,可以满足多种生产现场信号的高速数据采集工作。可编程逻辑CPLD的采用,使得系统具有较强的可扩展性。详细地介绍了系统的硬件设计和软件设计,并在仿真的基础上通过对方波信号的试验分析,验证了系统设计的可行性和正确性。 展开更多
关键词 复杂可编程逻辑器件 音频总线 数模转化器 UsB 数据采集仪 高速数据 便携式 AD7862 系统设计 方波信号
下载PDF
MOST^(25)智能网络音频播放节点的设计
17
作者 张永亮 秦贵和 +2 位作者 张晋东 郝健英 何丹丹 《吉林大学学报(信息科学版)》 CAS 2009年第6期619-623,共5页
针对如何利用INIC(Intelligent Network Interface Controller)实现车载MOST25(Media Oriented SystemsTransport)环形网络的问题,在介绍MOST25网络的原理与方法基础上给出了MOST25智能网络中音频播放节点的设计原理及其实现方法。节点... 针对如何利用INIC(Intelligent Network Interface Controller)实现车载MOST25(Media Oriented SystemsTransport)环形网络的问题,在介绍MOST25网络的原理与方法基础上给出了MOST25智能网络中音频播放节点的设计原理及其实现方法。节点设计方案中,网络控制器采用智能网络接口控制器OS81050,解码器采用可控音量芯片CS4341,功率放大器采用NE5532。应用实例表明,设计是有效可行的,并达到了很好的音响效果,具有信号保真度高、易添加或卸载等优点。 展开更多
关键词 MOsT25 智能网络接口控制器 网络服务 I2s总线
下载PDF
CdIn_2S_4纳米材料的制备及其应用进展 被引量:4
18
作者 张丽娜 郜梦迪 +3 位作者 陶虹秀 谭淼 李文军 张伟 《硅酸盐通报》 CAS CSCD 北大核心 2017年第10期3298-3302,3335,共6页
CdIn_2S_4是一种尖晶石结构的三元化合物,在可见光范围内具备优良的光吸收性能,同时具有良好的光催化活性和光化学稳定性,非常适合用作光催化剂。分别对CdIn2S4粉体和CdIn_2S_4薄膜的制备技术进行了介绍,然后对其在光催化降解有机污染... CdIn_2S_4是一种尖晶石结构的三元化合物,在可见光范围内具备优良的光吸收性能,同时具有良好的光催化活性和光化学稳定性,非常适合用作光催化剂。分别对CdIn2S4粉体和CdIn_2S_4薄膜的制备技术进行了介绍,然后对其在光催化降解有机污染物、光水解制氢以及光电化学领域的研究进展进行了整理总结,望其为进一步开展相关研究提供参考。 展开更多
关键词 CdIn2s4 催化剂 制备
下载PDF
基于Motorola DSP的数字音效处理系统 被引量:1
19
作者 曾荣 严国萍 陆牧 《电声技术》 北大核心 2002年第12期36-39,共4页
提出一种基于MotorolaDSP56364的数字音效处理系统的设计方案。文中首先讨论数字音频系统设计需要注意的事项,接着分析DSP56364的ESAI接口通过I2S协议与外部A/D、D/A进行无缝连接的问题,并给出系统的流程和硬件结构框图。最后以典型的... 提出一种基于MotorolaDSP56364的数字音效处理系统的设计方案。文中首先讨论数字音频系统设计需要注意的事项,接着分析DSP56364的ESAI接口通过I2S协议与外部A/D、D/A进行无缝连接的问题,并给出系统的流程和硬件结构框图。最后以典型的回声音效算法为例,说明基于该系统平台的软件处理流程。实验证明在该系统平台上可以很好的运行多种音效处理算法。 展开更多
关键词 MOTOROLA 数字音效处理系统 DsP56364 EsAI接口 I^2s协议 回声算法
下载PDF
蓝牙无线耳机设计及Vx Works移植方法 被引量:1
20
作者 王大永 杜开祝 何嘉斌 《单片机与嵌入式系统应用》 2005年第9期40-42,共3页
蓝牙技术广泛应用于局域网络中各类数据及语音设备的无线传输。根据蓝牙耳机的协议栈原理描述蓝牙无线耳机的硬件电路设计和软件设计的实现过程;详细说明其系统内部传输音频数据的I2S总线的接口原理、读写时序和控制函数;按照蓝牙耳机... 蓝牙技术广泛应用于局域网络中各类数据及语音设备的无线传输。根据蓝牙耳机的协议栈原理描述蓝牙无线耳机的硬件电路设计和软件设计的实现过程;详细说明其系统内部传输音频数据的I2S总线的接口原理、读写时序和控制函数;按照蓝牙耳机应用规范中各层数据处理要求,给出嵌入式实时操作系统VxWorks在蓝牙芯片ZV4301上的部分程序移植,最后通过协议模型讲述语音网关与蓝牙耳机进行语音传输的具体过程。 展开更多
关键词 蓝牙 耳机I^2s总线 VXWORKs 蓝牙无线耳机 软件设计 移植方法 嵌入式实时操作系统 硬件电路设计 蓝牙耳机 无线传输
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部