期刊文献+
共找到34篇文章
< 1 2 >
每页显示 20 50 100
基于IEEE-754变异型浮点数数制转换的程序设计 被引量:2
1
作者 张爱良 《重庆文理学院学报(自然科学版)》 2007年第6期73-74,共2页
提出了二进制浮点数与十进制数之间相互转换的程序设计的一种新思路:不需复杂的算法或复杂的数学推导且可以推广应用到其它格式的浮点数数制转换程序的设计中.在智能化仪器仪表的程序设计中,非常具有推广应用价值.
关键词 ieee-754 二进制浮点数 十进制数 相互转换
下载PDF
基于IEEE-754浮点数标准的快速对数算法
2
作者 彭怀敏 刘丹蕾 陈溢文 《中国新技术新产品》 2011年第7期33-33,共1页
依据IEEE-754浮点数标准,研究浮点数在内存中的存储格式,提出了基于C语言的快速对数算法。经验证,该算法速度快,精度高,代码少,占用内存少,适用于嵌入式处理器,尤其适用于没有硬件乘法器的处理器。
关键词 ieee-754 快速对数算法
下载PDF
基于IEEE-754标准和现场可编程门阵列技术的混沌产生器设计与实现 被引量:31
3
作者 周武杰 禹思敏 《物理学报》 SCIE EI CAS CSCD 北大核心 2008年第8期4738-4747,共10页
提出了基于IEEE-754标准的现场可编程门阵列(FPGA)通用混沌与超混沌信号产生器设计与硬件实现的一种新方法.首先,根据Euler算法,对连续混沌系统作离散化处理,便于FPGA等一类数字信号处理器件的实现.其次,基于IEEE-754标准和模块化设计理... 提出了基于IEEE-754标准的现场可编程门阵列(FPGA)通用混沌与超混沌信号产生器设计与硬件实现的一种新方法.首先,根据Euler算法,对连续混沌系统作离散化处理,便于FPGA等一类数字信号处理器件的实现.其次,基于IEEE-754标准和模块化设计理念,用硬件描述语言构建出浮点数的乘法运算、加法运算、符号函数运算、正负绝对值运算、初始值与迭代值选择等5个基本模块,并以此为基础,进一步在FPGA平台上产生包括网格状多涡卷蔡氏系统在内的多种不同类型的混沌与超混沌信号.最后,通过对语音芯片的配置,利用其立体声左右通道输出两路混沌信号,可在示波器上显示多种混沌与超混沌吸引子的相图.该方案的主要特点是通用性强.对IEEE-754标准的浮点数算法以及在FPGA平台上产生混沌与超混沌信号的原理进行了分析,给出了算法流程图、技术开发过程以及硬件设计与实现结果. 展开更多
关键词 网格状多涡卷混沌系统 ieee-754标准 现场可编程门阵列 浮点数算法
原文传递
Modbus-RTU协议的浮点数传输设计
4
作者 刘宁宁 殷华文 郭壬戌 《自动化应用》 2024年第10期275-278,共4页
为解决Modbus-RTU通信协议中保持寄存器不能直接传递高精度浮点数的问题,设计了一种共用体数据结构,定义联合变量,将浮点数和对应的存储数组共享同一内存空间,发送端用浮点数二进制码的方式传输浮点数,接收端再用浮点数的格式读取这4个... 为解决Modbus-RTU通信协议中保持寄存器不能直接传递高精度浮点数的问题,设计了一种共用体数据结构,定义联合变量,将浮点数和对应的存储数组共享同一内存空间,发送端用浮点数二进制码的方式传输浮点数,接收端再用浮点数的格式读取这4个字节内的数值,实现Modbus-RTU协议的浮点数传输设计。该方法经多次数据传输测试,可同时发送多个不同精度的浮点数,数据传输简单、高效。该方法可应用于需要采用Modbus-RTU协议进行多个浮点数数据传输且精度不同的通信系统中。 展开更多
关键词 Modbus-RTU 温度PID 浮点数传输 共用体 IEEE 754规范
下载PDF
基于C++ Builder 6.0的IEEE 754中单精度浮点数转换实现 被引量:1
5
作者 翟振辉 欧世峰 +2 位作者 刘继长 钟全雄 高颖 《现代电子技术》 2014年第20期27-30,共4页
IEEE 754标准规定了可以精确表示某一浮点型数据的单精度(常用)和双精度表示方法,这在许多对数据精度要求较高的场合得到广泛应用,而在数据通信过程中所有数据都是以十六进制打包和解析、二进制形式传输的,所以研究如何根据该标准把所... IEEE 754标准规定了可以精确表示某一浮点型数据的单精度(常用)和双精度表示方法,这在许多对数据精度要求较高的场合得到广泛应用,而在数据通信过程中所有数据都是以十六进制打包和解析、二进制形式传输的,所以研究如何根据该标准把所要传输的浮点型数据编程转换成8位十六进制数据具有重要的实用意义。这里在分析和研究IEEE 754标准中浮点型数据单精度表示方式的基础上,结合Borland C++Builder 6.0可视化编程工具,阐述了如何把单精度浮点型数据转换成所需要的8位十六进制数,以及如何把8位十六进制数转换成单精度浮点类型数据,并实现显示。 展开更多
关键词 IEEE 754标准 十六进制数 单精度浮点数 可视化编程工具
下载PDF
一个浮点数学函数库测试平台 被引量:11
6
作者 许瑾晨 黄永忠 +2 位作者 郭绍忠 周蓓 赵捷 《软件学报》 EI CSCD 北大核心 2015年第6期1306-1321,共16页
数学函数库作为CPU软件的重要组成部分,对于高性能计算机平台上的科学计算、工程数值计算起着极为关键的作用.现有的测试工具只能片面地对函数库进行测试,没有从正确性、精度和函数性能这3方面加以考虑,而且往往只针对一类目标体系结构... 数学函数库作为CPU软件的重要组成部分,对于高性能计算机平台上的科学计算、工程数值计算起着极为关键的作用.现有的测试工具只能片面地对函数库进行测试,没有从正确性、精度和函数性能这3方面加以考虑,而且往往只针对一类目标体系结构,适用性有限.针对现有测试工具的缺陷,提出了面向多目标体系结构、全面可复用的一体化测试平台BMltest(basic math library test).测试平台结合函数特征值、IEEE-754特殊数以及利用浮点数生成规则实现的全浮点域指数分布的IEEE-754规范数构造了测试集,有效提高了测试集浮点数的覆盖率;提出了基于多精度库MPFR(multiple-precision floating-point reliable library)的精度测试方法,提高了精度测试的可靠性;提出了基于代码隔离的性能测试方法,最大限度地降低了外部环境对性能测试的干扰.针对大量的浮点测试结果,给出了合理的结果评价方案.测试平台使用的测试集数据与函数做到了相关性的极大分离,保证了测试方法的普适性.通过对包括GNU,Open64及Mlib函数库内所有855个函数的测试结果表明:BMltest平台的测试数据集更全面、有效,精度测试方法更可靠;与其他测试平台相比,性能测试结果更准确、稳定. 展开更多
关键词 数学函数库 测试平台 ieee-754 精度测试 性能测试
下载PDF
一个新的四翼超混沌系统及其FPGA实现 被引量:6
7
作者 黄沄 张鹏 赵卫峰 《西南大学学报(自然科学版)》 CAS CSCD 北大核心 2013年第6期127-130,共4页
在一个三维混沌系统上,增加一个状态变量,构造了一个新的四翼超混沌系统.分析了系统的基本动力学行为,包括系统的相图、平衡点和Lyapunov指数谱.在IEEE-754标准下,利用FPGA对该超混沌系统进行了电路设计,硬件实验结果与数值仿真结果相一... 在一个三维混沌系统上,增加一个状态变量,构造了一个新的四翼超混沌系统.分析了系统的基本动力学行为,包括系统的相图、平衡点和Lyapunov指数谱.在IEEE-754标准下,利用FPGA对该超混沌系统进行了电路设计,硬件实验结果与数值仿真结果相一致,验证了该系统的可实现性. 展开更多
关键词 混沌 四翼超混沌系统 ieee-754标准 FPGA
下载PDF
基于FPGA的混沌信号发生器的设计与实现 被引量:3
8
作者 刘玉民 张雨虹 姚明林 《计算机工程与设计》 CSCD 北大核心 2010年第18期3972-3974,共3页
提出了基于FPGA设计混沌信号发生器的改进方法。采用Euler算法将连续混沌系统转换为离散混沌系统;基于IEEE-754单精度浮点数标准和模块化设计理念,使用Quartus II软件,采用VHDL和原理图相结合的方式设计混沌信号发生器。最后,在FPGA实... 提出了基于FPGA设计混沌信号发生器的改进方法。采用Euler算法将连续混沌系统转换为离散混沌系统;基于IEEE-754单精度浮点数标准和模块化设计理念,使用Quartus II软件,采用VHDL和原理图相结合的方式设计混沌信号发生器。最后,在FPGA实验系统上进行实验,在示波器上显示了混沌吸引子的相图及时域混沌信号。由于采用了基于数据选择器的面积优化方法,复用耗费逻辑资源较多的浮点运算模块,大大减少了混沌信号发生器所占用的FPGA逻辑资源。实验结果表明了该方法的有效性和通用性。 展开更多
关键词 混沌 现场可编程门阵列 ieee-754标准 面积优化 浮点乘法器
下载PDF
X-DSP浮点乘法器的设计与实现 被引量:1
9
作者 彭元喜 杨洪杰 谢刚 《计算机应用》 CSCD 北大核心 2010年第11期3121-3125,3133,共6页
为了满足高性能X-DSP浮点乘法器的性能、功耗、面积要求,研究分析了X型DSP总体结构和浮点乘法器指令特点,采用Booth2编码算法和4∶2压缩树形结构,使用4级流水线结构设计实现了一款高性能低功耗浮点乘法器。使用逻辑综合工具Design Compi... 为了满足高性能X-DSP浮点乘法器的性能、功耗、面积要求,研究分析了X型DSP总体结构和浮点乘法器指令特点,采用Booth2编码算法和4∶2压缩树形结构,使用4级流水线结构设计实现了一款高性能低功耗浮点乘法器。使用逻辑综合工具Design Compiler,采用第三方公司0.13μmCMOS工艺库,对所设计的乘法器进行了综合,其结果为工作频率500MHz,面积67529.36μm2,功耗22.3424mW。 展开更多
关键词 4∶2压缩树 布斯算法 ieee-754 浮点乘法器 数字信号处理器
下载PDF
捷联式导航计算机的最优NiosⅡ构建 被引量:1
10
作者 许德新 阙兴涛 夏全喜 《电子技术应用》 北大核心 2009年第5期159-162,共4页
从导航计算机实时性和时间可确定性的要求出发,结合niosⅡ的高度灵活性和可定制性的特点,设计了完全可控式双NiosⅡ处理器,实现了IEEE-754标准单浮点精度的cordic算法,并添加了硬件浮点运算的用户指令。最终的NiosⅡ系统非常适合捷联导... 从导航计算机实时性和时间可确定性的要求出发,结合niosⅡ的高度灵活性和可定制性的特点,设计了完全可控式双NiosⅡ处理器,实现了IEEE-754标准单浮点精度的cordic算法,并添加了硬件浮点运算的用户指令。最终的NiosⅡ系统非常适合捷联导航解算,是低成本、高性能的捷联式导航计算机的最佳选择。 展开更多
关键词 可控式双Nios Ⅱ处理器 ieee-754浮点标准 CORDIC算法 自定义用户指令
下载PDF
用FPGA实现CORDIC算法的32位浮点三角超越函数之正余弦函数 被引量:6
11
作者 李全 陈石平 付佃华 《电子产品世界》 2006年第10S期150-151,共2页
本文在传统CORDIC算法的基础之上,通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的软核能够在精度要求较高的场合中运行,如实时语音、图像信号处理、滤波技术等。输出数据经过IEEE-754标准化处理,能够直接兼容大多数... 本文在传统CORDIC算法的基础之上,通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的软核能够在精度要求较高的场合中运行,如实时语音、图像信号处理、滤波技术等。输出数据经过IEEE-754标准化处理,能够直接兼容大多数处理器,扩展了其应用范围。最终在Altera公司NiosⅡ处理器中通过增加自定义指令的方式完成了硬件实现。 展开更多
关键词 CORDIC 自定义指令 ieee-754标准化处理
下载PDF
浮点正余弦函数的FPGA及自定义指令实现
12
作者 李全 陈石平 +1 位作者 李晓欢 黄守麟 《微计算机信息》 北大核心 2008年第35期218-220,共3页
介绍了CORDIC算法的基本原理,并且对CORDIC内核、前处理和后处理单元进行了讨论。通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的超越函数能够在精度要求较高的场合中运行。输出数据经过IEEE-754标准化处理,能够直... 介绍了CORDIC算法的基本原理,并且对CORDIC内核、前处理和后处理单元进行了讨论。通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的超越函数能够在精度要求较高的场合中运行。输出数据经过IEEE-754标准化处理,能够直接兼容大多数处理器,扩展了其应用范围。最后通过增加自定义指令的方式完成了部分超越函数的软硬件测试及其对比。 展开更多
关键词 CORDIC算法 自定义指令 ieee-754 FPGA NiosⅡ
下载PDF
MACH 2系统TDM通信接口的研究 被引量:2
13
作者 何虎 宁祎 《现代电子技术》 2013年第6期4-6,共3页
介绍了基于MACH 2系统的TDM总线原理,并以柔性直流输电工程为背景,论述了TDM通信接口在高压直流输电中的具体研究与应用,详细描述了TDM时分多路复用通信方式的性能,并介绍了TDM通讯的编码形式以及校验方式。TDM通信采用IEEE-754标准编码... 介绍了基于MACH 2系统的TDM总线原理,并以柔性直流输电工程为背景,论述了TDM通信接口在高压直流输电中的具体研究与应用,详细描述了TDM时分多路复用通信方式的性能,并介绍了TDM通讯的编码形式以及校验方式。TDM通信采用IEEE-754标准编码,具有严格的校验方式,较高的可靠性和正确性。这种在一个传输介质上传输多路数字化信号的技术,在高压直流输电控制系统保护中,具有可靠、快速的特点,能够实现串行通信连接,单方向传输,具有单个发送源,一个或多个接收源。因此,TDM总线系统广泛应用于电力系统控制保护领域。 展开更多
关键词 ieee-754标准 串行通信 TDM通信接口 MACH2系统
下载PDF
32位浮点正余弦函数的FPGA实现 被引量:3
14
作者 陈石平 李全 +1 位作者 付佃华 段吉海 《微计算机信息》 北大核心 2008年第5期176-178,共3页
本文首先介绍了CORDIC算法原理和IEEE-754标准化结构,然后在传统CORDIC算法的基础之上,用Verilog HDL语言对CORDIC内核及前后处理单元进行了编程与设计,通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的软核能够在精... 本文首先介绍了CORDIC算法原理和IEEE-754标准化结构,然后在传统CORDIC算法的基础之上,用Verilog HDL语言对CORDIC内核及前后处理单元进行了编程与设计,通过增加迭代次数,对参数进行了优化筛选,提高了运算精度,使设计出的软核能够在精度要求较高的场合中运行,输出数据经过IEEE-754标准化处理,能够直接兼容大多数处理器,扩展了其应用范围。最后在Quartus Ⅱ 5.1上进行了时序仿真,设计结果表明该算法在硬件上具有很大的优势。 展开更多
关键词 坐标旋转数字计算算法 电气和电子工程师协会-754标准 现场可编程门阵列
下载PDF
改进的不恢复余数的浮点开方算法的研究与FPGA实现 被引量:3
15
作者 王文广 曹建 陈志敏 《现代电子技术》 2007年第16期68-71,共4页
对一种改进的不恢复余数的开方算法(non-restoring square-root algorithm)进行了讨论,并将其应用于基于IEEE 754标准的32位浮点格式的开方运算中,以一款FPGA为载体,实现了进行运算的基本电路。对目前存在的几种开方算法进行了评述,分... 对一种改进的不恢复余数的开方算法(non-restoring square-root algorithm)进行了讨论,并将其应用于基于IEEE 754标准的32位浮点格式的开方运算中,以一款FPGA为载体,实现了进行运算的基本电路。对目前存在的几种开方算法进行了评述,分析了他们的优缺点,提出了改进的不恢复余数开方算法模块化的设计思路与关键电路,并分析了仿真和逻辑综合的结果,证明了该算法运算速度较快且占用资源极少的特点。 展开更多
关键词 开方运算 不恢复余数的开方算法 IEEE754 FPGA
下载PDF
单精度浮点数到十进制数转换的IP核设计 被引量:3
16
作者 周磊 成开友 孙宏国 《盐城工学院学报(自然科学版)》 CAS 2011年第1期51-54,共4页
采用FPGA进行数字信号处理的系统,总是要频繁的进行IEEE 754浮点数到十进制码的转换。设计针对FPGA的特点提出了一种以简单的移位和加减操作为核心的转换算法,并用VHDL语言编写了状态机结构的IP核。在EP1C6Q240C8芯片上实现了732个逻辑... 采用FPGA进行数字信号处理的系统,总是要频繁的进行IEEE 754浮点数到十进制码的转换。设计针对FPGA的特点提出了一种以简单的移位和加减操作为核心的转换算法,并用VHDL语言编写了状态机结构的IP核。在EP1C6Q240C8芯片上实现了732个逻辑单元的使用以及69.21 MHz最大运行速度。 展开更多
关键词 IEEE754浮点数 十进制码 FPGA IP核
下载PDF
高速深流水线浮点加法单元的设计
17
作者 张明 郑莉平 余宁梅 《微型机与应用》 2015年第20期15-17,共3页
在X87执行环境下,采用基于Two-Path算法的并行深度流水线优化算法,设计了一种能够实现符合IEEE-754标准的单精度、双精度和扩展双精度及整型数据且舍入模式可控的高速浮点加法器。采用并行深度流水设计,经验证,功能满足设计要求,使用TSM... 在X87执行环境下,采用基于Two-Path算法的并行深度流水线优化算法,设计了一种能够实现符合IEEE-754标准的单精度、双精度和扩展双精度及整型数据且舍入模式可控的高速浮点加法器。采用并行深度流水设计,经验证,功能满足设计要求,使用TSMC 65 nm工艺库进行综合,其工作频率可达900 MHz。 展开更多
关键词 浮点加法器 ieee-754 Two-Path算法 并行流水线
下载PDF
用于专用DSP处理器的高速低功耗的IEEE32位浮点加法器 被引量:1
18
作者 孙旭光 毛志刚 来逢昌 《微处理机》 2003年第1期11-13,共3页
本文我们描述了一个符合 IEEE75 4单精度浮点标准的加法器。这个浮点加法器的设计基于 TSMC2 .5 V0 .2 5 μm CMOS工艺。它将用于 2 0 0 MHz的专用 DSP处理器。为了在高速运算的同时降低功耗 ,本文在采用了并行运算提高速度的同时 ,通... 本文我们描述了一个符合 IEEE75 4单精度浮点标准的加法器。这个浮点加法器的设计基于 TSMC2 .5 V0 .2 5 μm CMOS工艺。它将用于 2 0 0 MHz的专用 DSP处理器。为了在高速运算的同时降低功耗 ,本文在采用了并行运算提高速度的同时 ,通过控制逻辑模块关闭不必要的运算模块的操作来减小整个电路功耗。另外 ,在电路设计中大量使用传输管逻辑 ,提高速度并降低整个电路的面积和功耗。加法器的运算时间是 3 .986 展开更多
关键词 专用DSP处理器 IEEE32位浮点加法器 传输管逻辑 CMOS工艺 功能模块
下载PDF
基于编译时插桩的浮点异常检测方法
19
作者 郭思雨 王磊 《计算机工程与科学》 CSCD 北大核心 2022年第6期979-985,共7页
浮点数是实数的有限精度编码,在进行浮点计算时,可能会导致不精确或者异常的结果,因此实现有效的浮点异常检测方法很重要。现有异常检测方法不面向浮点数学函数,由此提出了一种面向浮点数学函数的异常检测方法。该方法依据IEEE-754标准... 浮点数是实数的有限精度编码,在进行浮点计算时,可能会导致不精确或者异常的结果,因此实现有效的浮点异常检测方法很重要。现有异常检测方法不面向浮点数学函数,由此提出了一种面向浮点数学函数的异常检测方法。该方法依据IEEE-754标准中定义的上溢出、下溢出、被零除、无效操作和不精确5类异常,并结合申威高性能数学函数库中使用的浮点控制寄存器FPCR和IEEE-754标准定义的浮点异常产生条件的相关理论,通过将异常类型和浮点运算指令进行对应分类,在程序编译时进行插桩以检测出浮点数学函数中出现的异常,同时记录代码覆盖率。最后将该方法应用于数学函数库,对库中100多个浮点数学函数进行了测试实验。实验结果表明,该浮点异常检测方法能够有效检测各类异常。 展开更多
关键词 浮点数学函数 ieee-754 插桩 异常检测
下载PDF
浮点数用法分析 被引量:4
20
作者 杜叔强 施武祖 《兰州工业高等专科学校学报》 2012年第3期51-53,共3页
分析了IEEE754标准浮点数的存储格式、在数轴上的分布、最大值、最大间隔以及有效数字,总结了浮点数使用时的原则.
关键词 浮点数 IEEE754标准 单精度 双精度 有效数字
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部