期刊文献+
共找到2,317篇文章
< 1 2 116 >
每页显示 20 50 100
Application of digital driving circuit in arc welding power source based on HCPL-316J 被引量:2
1
作者 赖宇 陈克选 +1 位作者 常春梅 许可可 《China Welding》 EI CAS 2016年第4期61-67,共7页
The digitalization of arc welding power source mainly depends on the digitalization of arc welding inverter,so that main circuit and controlling system can give full play to advantages.Digital switching control makes ... The digitalization of arc welding power source mainly depends on the digitalization of arc welding inverter,so that main circuit and controlling system can give full play to advantages.Digital switching control makes main circuit digital and DSP and/or MCU makes controlling system,digital.So IGBT driving circuit,as a tie of main circuit and controlling system,should also be got digitalized.Thus,a digital driving circuit based on optocoupler device HCPL-316 J is provided.Some testing experiments were done.After driving testing,the driving circuit certificates that driving waveforms satisfy the requirements of arc welding power source and the driving circuit is reasonably and simply designed.And the driving circuit has high controlling precision and reliability.No-load-voltage testing and welding external characteristic testing prove that the driving circuit can be applied in arc welding power source. 展开更多
关键词 DIGITALIZATION driving circuit HCPL-316J
下载PDF
一种抑制栅极正负向串扰的GaN HEMT无源驱动电路
2
作者 王忠 秦世清 +1 位作者 王福学 边国辉 《半导体技术》 CAS 北大核心 2024年第5期483-491,共9页
GaN器件由于其更快的开关速度,比硅器件更容易发生严重的开关振荡,也更容易受到栅源电压振荡的影响。为了抑制GaN基半桥结构中的串扰,提出了一种抑制GaN高电子迁移率晶体管(HEMT)栅极正负向串扰的无源钳位驱动电路来抑制栅源电压振荡。... GaN器件由于其更快的开关速度,比硅器件更容易发生严重的开关振荡,也更容易受到栅源电压振荡的影响。为了抑制GaN基半桥结构中的串扰,提出了一种抑制GaN高电子迁移率晶体管(HEMT)栅极正负向串扰的无源钳位驱动电路来抑制栅源电压振荡。采用基本无源元件建立自举驱动回路,并增加三极管以构成从驱动电路到GaN HEMT的低阻抗米勒电流路径,抑制正负向串扰。在LTspice软件下进行电路模拟仿真,并搭建实验平台进行实测验证,结果表明栅源电压的最大正向串扰可降至1.2 V,最大负向串扰可降至1.6 V,漏源电流的正向和负向串扰均降至2 A以下,证明该电路对栅源电压以及漏源电流的振荡均有良好的抑制效果。 展开更多
关键词 GaN高电子迁移率晶体管(HEMT) 驱动电路 串扰 桥式电路 电路振荡
下载PDF
不对称短路故障下永磁直驱风电机组并网控制策略研究 被引量:1
3
作者 陶大军 闫涵 《大电机技术》 2024年第2期1-8,共8页
电网侧发生不对称故障时,永磁直驱风电机组并网电流会发生三相不平衡的问题。针对这一问题,提出一种基于自适应陷波器的网侧换流器控制策略。该控制策略基于解决机组并网电流二倍频分量的思想,在电网侧发生不对称短路故障时,将进入逆变... 电网侧发生不对称故障时,永磁直驱风电机组并网电流会发生三相不平衡的问题。针对这一问题,提出一种基于自适应陷波器的网侧换流器控制策略。该控制策略基于解决机组并网电流二倍频分量的思想,在电网侧发生不对称短路故障时,将进入逆变器的dq坐标系下的二倍频电压分量去除,从而使得网侧换流器输出的电流达到三相平衡。本文首先分析了电网侧发生不对称短路故障后永磁直驱风力发电机组的动态响应;然后建立了机侧和网侧换流器的模型,并分别采用了双闭环矢量控制技术和基于自适应陷波器的控制策略;最后进行仿真分析,验证了改进后的控制策略相较于传统控制策略,有效解决了不对称短路故障下并网电流三相不平衡问题。 展开更多
关键词 直驱风电机组 不对称短路故障 故障穿越 并网电流
下载PDF
高压断路器操动机构驱动电机及其控制技术研究
4
作者 王奕飞 林莘 +1 位作者 徐建源 厉伟 《电机与控制学报》 EI CSCD 北大核心 2024年第1期95-104,共10页
高压断路器操动机构用电机驱动提高了断路器运行的可靠性与可控性,因此设计了一套适用于126 kV真空断路器的电机操动机构。基于操动机构动力学分析结果确定驱动电机转矩、转速要求,提出一种有限转角永磁无刷电机设计方案,研制样机进行... 高压断路器操动机构用电机驱动提高了断路器运行的可靠性与可控性,因此设计了一套适用于126 kV真空断路器的电机操动机构。基于操动机构动力学分析结果确定驱动电机转矩、转速要求,提出一种有限转角永磁无刷电机设计方案,研制样机进行联机试验完成动作要求检验。在此基础上,设计分段转矩控制策略,结合驱动电机输出转矩需求将操动机构的运动过程分为4个阶段,从降低触头碰撞、避免预击穿现象发生、提高断路器工作可靠性角度对各阶段电机输出转矩进行动态调节。结果表明:所研制的驱动电机配合分段转矩控制策略,在保证灭弧室对操动机构动作时间、动作速度要求的前提下,实现了操动机构的运动过程优化和工作可靠性提高,促进了断路器智能化操作进程。 展开更多
关键词 高压断路器 操动机构 驱动电机 分段转矩控制 智能化操作 试验验证
下载PDF
煤矿氧气检测高精度VCSEL驱动及温控电路设计
5
作者 于庆 张华乾 郭清华 《矿业安全与环保》 CAS 北大核心 2024年第2期153-160,共8页
为解决当前常用煤矿氧气检测仪器易受交叉气体干扰且功耗大的问题,基于GD32F303RCT6微控制器和ADN8834热电冷却控制器,设计了一种软启动开关电路控制的垂直腔面发射激光器(Vertical-cavity Surface-emitting Laser,VCSEL)高精度驱动及... 为解决当前常用煤矿氧气检测仪器易受交叉气体干扰且功耗大的问题,基于GD32F303RCT6微控制器和ADN8834热电冷却控制器,设计了一种软启动开关电路控制的垂直腔面发射激光器(Vertical-cavity Surface-emitting Laser,VCSEL)高精度驱动及温控电路。驱动电路中,高频正弦波信号和低频锯齿波信号叠加的二进制数据由微控制器产生,经信号发生电路、电压电流转换电路转化成VCSEL高精度驱动电流信号;温控电路中,设计基于比例积分微分(Proportional Integral Differential,PID)补偿电路和数模转换控制器(Digital to Analog Converter,DAC)目标温度控制电路实现激光器温度自动调节。测试结果表明:驱动电路的电流输出区间为0.680~1.360 mA;锯齿波频率误差小于0.5%,正弦波频率误差小于0.1%;氧气吸收峰扫描精度高达0.07 pm,对应电流扫描精度为0.12μA;温控电路的温度控制精度为±0.012℃。满足了可调谐半导体激光吸收光谱(Tunable Diode Laser Absorption Spectroscopy,TDLAS)煤矿氧气检测应用需求。 展开更多
关键词 煤矿 氧气检测 VCSEL 高精度驱动及温控电路 PID补偿电路 DAC目标温度控制电路
下载PDF
基于DCS-惯性权重组合的柔性机械臂运动轨迹控制系统研究
6
作者 唐爱武 陈天佑 《电气传动》 2024年第2期74-81,共8页
为了有效调控机械臂运动幅度,避免柔性机械臂实际运动轨迹与目标轨迹发生较大的偏差,设计一种基于分散控制系统(DCS)-惯性权重组合的柔性机械臂运动轨迹控制系统,它是一个集合了计算机、通信、显示和控制的计算机系统,该系统由过程控制... 为了有效调控机械臂运动幅度,避免柔性机械臂实际运动轨迹与目标轨迹发生较大的偏差,设计一种基于分散控制系统(DCS)-惯性权重组合的柔性机械臂运动轨迹控制系统,它是一个集合了计算机、通信、显示和控制的计算机系统,该系统由过程控制和过程监控。通过DCS算法控制监测对象行为幅度并计算柔性机械臂惯性权重,利用DCS-惯性权重组合求解素数控制指标的具体数值,实现了对柔性机械臂运动轨迹控制系统的软件设计。实验结果表明,DCS-惯性权重组合算法作用下的机械臂末端坐标在横轴、纵轴、空间轴方向上的运动幅值均可以被控制在10个单位长度之内,并且在0.52 s内进入机械臂运动轨迹最佳控制状态,运动轨迹差值最大仅为0.01 rad,验证了该系统具备可行性和有效性。 展开更多
关键词 DCS-惯性权重组合 柔性机械臂 运动轨迹 素数控制 电机驱动回路 运动幅度
下载PDF
基于三电平的超声波电机驱动电路调速性能研究
7
作者 傅平 孔晨 蔡康伟 《微特电机》 2024年第1期51-57,共7页
从超声波电机驱动电路拓扑结构出发,使用三相全桥电路构建三电平波形发生电路,得到基于三电平的超声波电机驱动电路,通过改变相关PWM驱动参数可以实现电机驱动波形的调频、调压和调相功能,进而实现电机调速。通过分析得到驱动电压、驱... 从超声波电机驱动电路拓扑结构出发,使用三相全桥电路构建三电平波形发生电路,得到基于三电平的超声波电机驱动电路,通过改变相关PWM驱动参数可以实现电机驱动波形的调频、调压和调相功能,进而实现电机调速。通过分析得到驱动电压、驱动频率与行波幅值之间的关系,使用半实物仿真平台对基于三电平的超声波电机驱动电路进行测试,验证空载、带载时超声波电机在调压、调频、调相的情况下转速的变化情况,从而实现基于三电平的超声波电机的调速性能测试,为电机调速打下基础。 展开更多
关键词 超声波电机 驱动电路 三电平 半实物仿真
下载PDF
连续可调纳秒脉冲LD驱动电源的研制 被引量:16
8
作者 张寿棋 楼祺洪 +1 位作者 周军 董景星 《激光技术》 CAS CSCD 北大核心 2008年第4期396-398,共3页
为了满足单模尾纤输出脉冲半导体激光器及其后级光放大的要求,研制了一种重频、脉宽及峰值电流均连续可调的纳秒脉冲驱动电源。该电源使用功率场效应管作为开关,通过分析其驱动特性,采用合适的栅极驱动电路,从而缩短了脉冲宽度,增加了... 为了满足单模尾纤输出脉冲半导体激光器及其后级光放大的要求,研制了一种重频、脉宽及峰值电流均连续可调的纳秒脉冲驱动电源。该电源使用功率场效应管作为开关,通过分析其驱动特性,采用合适的栅极驱动电路,从而缩短了脉冲宽度,增加了带负载能力;同时电源中的保护电路采用自断电等保护措施,能有效保证LD的安全工作。实验结果表明,该驱动电源工作稳定,能满足单模尾纤输出脉冲LD重频、脉宽、峰值可调的要求。 展开更多
关键词 激光技术 驱动电源 半导体激光器 保护电路
下载PDF
基于CPLD的CCD通用驱动电路设计方法 被引量:15
9
作者 张勇 唐本奇 +2 位作者 肖志刚 王祖军 黄绍艳 《核电子学与探测技术》 CAS CSCD 北大核心 2005年第2期214-217,共4页
建立CCD通用测试平台有助于系统研究各类CCD器件的辐射效应及损伤机理。探讨了一种基于CPLD的线阵CCD通用驱动电路设计方法与实现途径。利用MAX-PLUSII开发系统,选用MAX7000S系列CPLD芯片,设计实现了核心驱动主控制器,用于读取外部存储... 建立CCD通用测试平台有助于系统研究各类CCD器件的辐射效应及损伤机理。探讨了一种基于CPLD的线阵CCD通用驱动电路设计方法与实现途径。利用MAX-PLUSII开发系统,选用MAX7000S系列CPLD芯片,设计实现了核心驱动主控制器,用于读取外部存储器驱动文件,设置相关参数寄存器,并产生符合参数要求的驱动时序脉冲。在此方法的基础上,完成了基本驱动模块电路的设计。基本驱动模块电路输出波形的测试结果表明,这种设计方法是完全可行的。 展开更多
关键词 通用 MAX7000 CPld 外部存储器 主控制器 读取 寄存器 驱动电路 驱动模块 CCD
下载PDF
脉冲式激光引信用连续可调LD驱动电路的研究 被引量:9
10
作者 孙德刚 唐海峰 《激光技术》 CAS CSCD 北大核心 2007年第2期217-219,共3页
为了满足引信用脉冲式半导体激光器驱动电路的脉冲宽度可调、频率可调、功率可调的需要,根据LD驱动电路的工作原理,建立LD驱动电路的一般模型,并进行了仿真分析。采用电子多频振荡器来提供驱动信号,用双MOS驱动器来驱动半导体激光器,通... 为了满足引信用脉冲式半导体激光器驱动电路的脉冲宽度可调、频率可调、功率可调的需要,根据LD驱动电路的工作原理,建立LD驱动电路的一般模型,并进行了仿真分析。采用电子多频振荡器来提供驱动信号,用双MOS驱动器来驱动半导体激光器,通过大量的实验、仿真、分析、比较,设计出了方便可调的大功率LD的驱动电路。结果表明,该驱动电路完全能满足激光近炸引信对脉宽、频率和功率的需要。 展开更多
关键词 激光技术 激光引信 半导体激光器 驱动电路
下载PDF
一种灵活可靠的IGBT驱动电路设计 被引量:1
11
作者 韩松 于志强 +2 位作者 王明玥 于洪泽 贾鹏飞 《电气传动》 2024年第1期48-52,共5页
在当今减碳排放背景下,全控型功率器件IGBT以优异的性能广泛用于各种变流器中,有效可靠的驱动电路对IGBT的安全工作至关重要,特别是大功率应用场合。针对大功率IGBT应用中对驱动电路灵活可靠的要求,设计了一种基于智能集成光耦驱动器ACP... 在当今减碳排放背景下,全控型功率器件IGBT以优异的性能广泛用于各种变流器中,有效可靠的驱动电路对IGBT的安全工作至关重要,特别是大功率应用场合。针对大功率IGBT应用中对驱动电路灵活可靠的要求,设计了一种基于智能集成光耦驱动器ACPL-332J的IGBT驱动保护电路,分析了ACPL-332J的各项参数,并以ACPL-332J为核心设计了驱动电路。以英飞凌FF600R12ME4为应用IGBT,通过双脉冲试验、短路试验验证了设计电路驱动及保护的有效性。 展开更多
关键词 智能集成光耦驱动器ACPL-332J 光耦驱动器 驱动保护电路 灵活可靠
下载PDF
基于CPLD的高速面阵CCD驱动电路设计 被引量:9
12
作者 孔渊 王世勇 +1 位作者 崔洪洲 周起勃 《半导体光电》 CAS CSCD 北大核心 2003年第5期363-366,共4页
 着重介绍了基于CPLD来设计产生高速面阵IA-D1CCD芯片复杂驱动时序和整个CCD相机的电子系统控制逻辑时序。同时采用CCD视频处理专用集成芯片处理CCD输出信号,提高了图像信噪比,改善了图像质量。使用结果表明:该硬件电路结构简单、成本...  着重介绍了基于CPLD来设计产生高速面阵IA-D1CCD芯片复杂驱动时序和整个CCD相机的电子系统控制逻辑时序。同时采用CCD视频处理专用集成芯片处理CCD输出信号,提高了图像信噪比,改善了图像质量。使用结果表明:该硬件电路结构简单、成本低廉、可靠性高、功耗较低,并满足了工程项目小型化的要求。 展开更多
关键词 电荷耦合器件 可编程逻辑器件 驱动电路 CCD视频处理专用集成芯片
下载PDF
基于CPLD的高速线阵TDI CCD驱动电路设计 被引量:6
13
作者 李翰山 王泽民 +2 位作者 雷志勇 雷鸣 李静 《计算机测量与控制》 CSCD 2007年第1期122-123,126,共3页
随靶场测试技术的要求提高,特别在高速飞行弹丸测试技术领域,对弹丸着靶的两维坐标的测量精度提出了更高要求,利用高速高灵敏度的CCD器件为核心的图像采集系统,采集弹丸过靶的图像,通过图像分析可提高其测量精度;基于CPLD技术,简述IL-E2... 随靶场测试技术的要求提高,特别在高速飞行弹丸测试技术领域,对弹丸着靶的两维坐标的测量精度提出了更高要求,利用高速高灵敏度的CCD器件为核心的图像采集系统,采集弹丸过靶的图像,通过图像分析可提高其测量精度;基于CPLD技术,简述IL-E2 TDI CCD的基本工作原理及其时序要求,根据其要求自行设计高速线阵IL-E2 TDI CCD芯片图像采集所需的复杂时序和CCD外围驱动电路;分析IL-E2 TDI CCD外围驱动电路设计的基本原理与CPLD内部逻辑时序设计,完成线阵IL-E2 TDI CCD图像采集的驱动时序电路;实践证明,该电路结构简单,可靠性高,满足测试要求。 展开更多
关键词 线阵TDI CCD CPld 逻辑时序 驱动电路
下载PDF
CPLD逻辑控制单元在IGBT驱动电路中的应用 被引量:6
14
作者 苏麟 郑建勇 +3 位作者 梅军 丁祖军 吴恒荣 陈军 《电力自动化设备》 EI CSCD 北大核心 2004年第11期47-51,共5页
简要分析了过流保护自锁电路在EXB 841驱动电路中的必要性。针对由分立元件构成的传统过流保护自锁电路的种种弊端,提出一种全新的复杂可编程逻辑器件CPLD(Complex ProgrammableLogic Device)逻辑控制单元.用以完成绝缘栅双极型晶体管IG... 简要分析了过流保护自锁电路在EXB 841驱动电路中的必要性。针对由分立元件构成的传统过流保护自锁电路的种种弊端,提出一种全新的复杂可编程逻辑器件CPLD(Complex ProgrammableLogic Device)逻辑控制单元.用以完成绝缘栅双极型晶体管IGBT(Insulated Gate Bipolar Transistor)控制电路和与驱动电路之间的接口功能,并在出现过流故障时实现过流保护自锁。重点介绍了CPLD逻辑控制单元的内部逻辑设计,并通过Xilinx Foudation 3.1i软件仿真说明其具体逻辑功能。该控制单元已应用于实际电路中,运行证明性能是可靠的。 展开更多
关键词 IGBT 驱动电路 过流保护自锁 CPld
下载PDF
驱动电路一致性对光纤陀螺用SLD光源特性的影响 被引量:3
15
作者 殷建玲 鲁军 +2 位作者 陈玉丹 毛少娟 刘军 《中国惯性技术学报》 EI CSCD 北大核心 2017年第4期518-522,共5页
针对目前同批次驱动电路对同一光源控制效果存在差异的问题,开展器件一致性对光纤陀螺用SLD光源特性影响的研究,找到影响驱动电路一致性的关键部位,并提出解决方案,从而规范驱动电路制作过程。理论分析结果表明:造成温控电路差异的因素... 针对目前同批次驱动电路对同一光源控制效果存在差异的问题,开展器件一致性对光纤陀螺用SLD光源特性影响的研究,找到影响驱动电路一致性的关键部位,并提出解决方案,从而规范驱动电路制作过程。理论分析结果表明:造成温控电路差异的因素由大到小依次是惠斯通桥两臂电阻偏差、热敏电阻与同臂电阻偏差、正/负电源精度、运算放大器输入失调电压,以及积分电路的运放精度;造成恒流源电路差异的因素主要是指示器误差、驱动电流漂移误差和恒流源器件选配误差;通过采取元器件配对、调试、更换高精度器件等措施,可消除或大幅降低上述电路差异。试验结果证明,按照理论规范生产的驱动电路板一致性显著提高,可达到同类进口驱动电路的水平。 展开更多
关键词 电路一致性 Sld光源 光纤陀螺 驱动电路
下载PDF
用CPLD实现硬件直线插补器 被引量:8
16
作者 崔桂梅 穆志纯 任彦 《微特电机》 北大核心 2005年第3期21-23,共3页
介绍了采用CPLD器件设计的硬件直线插补器及其优点,它经过环形分配器及放大电路,同时控制二维 (X向、Y向)步进电机快速实现直线绘制。它比利用软件实现的直线插补法速度快,精度高,适用于数字控制的机械加工或绘图设备。
关键词 步进电动机 直线插补器 环形分配器 可编程逻辑器件 硬件描述语言 CPld
下载PDF
基于CPLD的线阵CCD驱动时序电路设计 被引量:7
17
作者 项大鹏 杨江 杨建 《大地测量与地球动力学》 CSCD 北大核心 2010年第B11期123-125,共3页
CCD驱动时序电路的设计是CCD应用的最基本也是最关键的问题。通过复杂可编程逻辑器件(CPLD)搭建时序电路,可以充分发挥其"可编程"技术特性,简化电路结构并具备极强的通用性。介绍利用CPLD实现驱动电路的主要特性、工作原理和... CCD驱动时序电路的设计是CCD应用的最基本也是最关键的问题。通过复杂可编程逻辑器件(CPLD)搭建时序电路,可以充分发挥其"可编程"技术特性,简化电路结构并具备极强的通用性。介绍利用CPLD实现驱动电路的主要特性、工作原理和设计思想并利用VHDL语言实现了驱动程序,并给出具体的仿真结果。 展开更多
关键词 CCD 复杂可编程逻辑器件(CPld) VHDL语言 驱动程序 驱动电路
下载PDF
基于CPLD和VHDL的一种线阵CCD驱动时序电路的设计与实现 被引量:6
18
作者 赵春晖 刘会 梁刚键 《应用科技》 CAS 2005年第11期4-6,共3页
CCD作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对CCD的驱动时序电路的设计,给出了部分VHDL语言源代码,利用MAX+plusⅡ软件实现了时序... CCD作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对CCD的驱动时序电路的设计,给出了部分VHDL语言源代码,利用MAX+plusⅡ软件实现了时序仿真,讨论了VHDL语言设计中的一些问题。 展开更多
关键词 CPld VHDL CCD 驱动时序电路 时序仿真
下载PDF
基于CPLD技术的CCD驱动时序产生方法 被引量:25
19
作者 常丹华 《仪表技术与传感器》 CSCD 北大核心 2001年第3期26-28,共3页
文中介绍利用可编程逻辑器件 70 0 0系列设计CCD驱动电路的方法。阐述了逻辑设计原理 ,给出了CPLD实现电路和时序仿真波形 ,验证了CPLD技术的可行性。
关键词 CPld 电荷耦合器件 驱动电路
下载PDF
基于CPLD的线阵CCD驱动电路的设计 被引量:4
20
作者 李宁宁 李志农 +1 位作者 刘治华 陈金刚 《机械设计与制造》 北大核心 2011年第6期30-32,共3页
针对传统CCD驱动电路存在的不足,尤其是当驱动电路工作在较高频率时易产生严重干扰,系统工作不稳定,我提出了一种线阵CCD驱动电路的设计方案,该方案运用CPLD技术来设计产生ILX526A图像传感器的驱动时序。采用MAXPLUSⅡ开发系统,运用硬... 针对传统CCD驱动电路存在的不足,尤其是当驱动电路工作在较高频率时易产生严重干扰,系统工作不稳定,我提出了一种线阵CCD驱动电路的设计方案,该方案运用CPLD技术来设计产生ILX526A图像传感器的驱动时序。采用MAXPLUSⅡ开发系统,运用硬件描述语言VHDL对CPLD进行编程,然后进行仿真,并进行了实验的研究。仿真和试验结果表明,该驱动电路具有通用性,对程序稍作修改,就可以实现其他型号的CCD驱动电路的设计,因此该方案对CCD驱动电路的设计具有相当重要的参考价值。 展开更多
关键词 线阵CCD 驱动电路 CPld VHDL语言
下载PDF
上一页 1 2 116 下一页 到第
使用帮助 返回顶部