期刊文献+
共找到204篇文章
< 1 2 11 >
每页显示 20 50 100
VHDL及MAX PlusⅡ在数字系统设计中的应用
1
作者 汪红 田莎莎 童小念 《软件导刊》 2007年第11期54-56,共3页
探讨了基于EDA(电子设计自动化)技术的VHDL在数字系统设计中的应用,并使用具体开发平台MAX PlusⅡ设计了一个串行数字传输系统。
关键词 数字系统 电子设计自动化 VHDL maxplusⅱ
下载PDF
用MAX+plus Ⅱ设计数字电路的实践 被引量:2
2
作者 王振宇 成立 《电测与仪表》 北大核心 2003年第9期35-38,共4页
MAX+plus是美国Altera公司的一种EDA软件,用于开发CPLD和FPGA,进行数字系统的设计,本文分别以图形和文本两种输入方式设计了两个实际数字电路,从而说明了用EDA技术设计数字电子电路的过程。
关键词 数字电路 max+plusⅱ EDA 图形 文本 CPLD FPGA
下载PDF
用MAX+PLUSⅡ对复位法构成任意进制计数器性能的演示 被引量:1
3
作者 刘姝延 白泽生 《系统仿真学报》 CAS CSCD 2002年第10期1408-1409,共2页
利用MAX+PLUSⅡ软件工具对复位法构成的任意进制计数器进行功能仿真,对简单复位法和改进型电路的性能作了分析和比较,并测出了过渡态持续的时间,弥补了使用常用仪器测试时的不足。
关键词 max+plusⅱ 复位法 任意进制计数器 性能 仿真 软件工具
下载PDF
基于MAX+plusⅡ开发平台的EDA设计方法 被引量:2
4
作者 赵大兴 彭章明 丁建军 《湖北工业大学学报》 2005年第1期27-29,共3页
MAX+plusⅡ是美国Altera公司的一种EDA软件,用于开发CPLD和FPGA进行数字系统的设计.用图形输入方式和文本输入方式设计了一模60计数器,介绍了数字系统设计的一般方法和过程,揭示了其在数字系统中的重要作用.
关键词 max+plusⅱ 设计方法 开发平台 Altera公司 文本输入方式 数字系统设计 EDA软件 方法和过程 FPGA CPLD 计数器
下载PDF
Max+plus Ⅱ在“组合电路中竞争与冒险现象”课堂教学上的应用 被引量:1
5
作者 苗长新 李桂林 《现代电子技术》 2009年第19期128-130,共3页
"数字电路"课程中的"组合逻辑电路中的竞争与冒险现象"这部分内容是课堂讲解的一个重点和难点。为了加深学生对该抽象知识点的理解和掌握,以最简单的2输入与门为例,通过设计输入、编译、时序仿真、查看定时关系等... "数字电路"课程中的"组合逻辑电路中的竞争与冒险现象"这部分内容是课堂讲解的一个重点和难点。为了加深学生对该抽象知识点的理解和掌握,以最简单的2输入与门为例,通过设计输入、编译、时序仿真、查看定时关系等操作步骤,介绍一种将Max+plusⅡ引入这一讲的具体教学方法。合理设置输入波形以后,通过Max+plusⅡ时序仿真的结果,不仅可以观察到输出端产生的"毛刺",还可以通过计算找到产生的原因并采取有效的方法消除。课堂实践证明,此方法取得了良好的教学效果,大大提高了授课效率。 展开更多
关键词 组合电路 竞争与冒险现象 毛刺 max+plusⅱ 时序仿真
下载PDF
MAX+PLUSⅡ在EDA教学应用中的常见问题分析与解决 被引量:3
6
作者 林纯 陈娟 王建平 《中国教育技术装备》 2011年第24期105-106,共2页
MAX+PLUSⅡ开发系统作为电气、电子类专业学生EDA教学的常用软件,有着强大的功能和友好的设计环境。在多年EDA教学经验基础上,详细分析MAX+PLUSⅡ软件使用过程中的常见问题,并提出解决这些问题的参考方法,必要时辅之以图形说明。
关键词 EDA教学 max+plusⅱ 编译 仿真
下载PDF
利用MAX+PlusⅡ进行数字逻辑课程设计 被引量:3
7
作者 周红 刘光蓉 张红武 《襄樊职业技术学院学报》 2004年第2期1-4,共4页
用MAX+PlusⅡ进行《数字逻辑》课程设计的新思路,使数字逻辑课程设计变得更加简洁高效。并以一个多功能数字钟的设计为例,阐述了用MAX+PlusⅡ进行设计数字逻辑课程设计的方法和步骤。
关键词 数字逻辑 课程设计 max+plusⅱ 数字钟
下载PDF
MAX+PLUSⅡ9.23软件及其在EDA实验教学中的应用 被引量:1
8
作者 李素文 何晓雄 杨一军 《淮北煤师院学报(自然科学版)》 CAS 2003年第1期63-65,共3页
介绍了学生版MAX+PLUSⅡ9.23软件的功能,并通过举例来说明其主要特点,论述其在EAD实验教学中的广阔应用.
关键词 max+plusⅱ EDA 可编程 实验教学
下载PDF
基于Max+PlusⅡ的任意进制计数器的几种设计方法 被引量:2
9
作者 高克芳 《现代电子技术》 2006年第19期142-144,146,共4页
运用EDA工具设计电子系统是当今电子设计的趋势,以任意进制计数器的设计为例,介绍了运用Max+PlusⅡ软件设计的3种方式:原理图输入方式、VHDL语言输入方式和LPM定制方式,通过对设计实例的分析表明:用Max+PlusⅡ软件进行设计具有可靠性强... 运用EDA工具设计电子系统是当今电子设计的趋势,以任意进制计数器的设计为例,介绍了运用Max+PlusⅡ软件设计的3种方式:原理图输入方式、VHDL语言输入方式和LPM定制方式,通过对设计实例的分析表明:用Max+PlusⅡ软件进行设计具有可靠性强、灵活性大、开发周期短等特点。教学实践表明,一例多设计方法的教学也有助于学生更好地掌握运用Max+PlusⅡ设计电子系统。 展开更多
关键词 计数器 max+plusⅱ VHDL LPM
下载PDF
利用MAX+PLUSⅡ设计数字电路 被引量:1
10
作者 刘姝延 《现代电子技术》 2002年第4期16-17,共2页
MAX+PL US 开发工具是美国 Altera公司自行设计的一种 CAE软件工具 ,是 EDA技术的有力工具。以一个实际电路设计为例 。
关键词 max+plusⅱ 数字电路 VHDL语言 电路设计
下载PDF
MAX+plus中LPMⅡ宏单元的使用方法 被引量:1
11
作者 熊国海 黄南山 《电气电子教学学报》 2001年第4期56-57,69,共3页
介绍了 PL M宏单元的技术特征及几种使用方法 ,为广大读者更好地利用 LPM宏单元去设计电路。
关键词 LPM宏单元 max+plusⅱ软件 电路设计软件
下载PDF
基于Max+Plus Ⅱ的PCM30/32路系统仿真 被引量:1
12
作者 刘小群 《现代电子技术》 2011年第1期167-169,共3页
PCM是将模拟信号变换成数字信号的常用方法。为了研究PCM30/32路系统的发端时序与帧结构,采用Max+PlusⅡ设计出了该系统的电路图,并在Max+PlusⅡ中对该电路进行了仿真。仿真结果表明,PCM30/32路系统共包含32路信息,其中包含30... PCM是将模拟信号变换成数字信号的常用方法。为了研究PCM30/32路系统的发端时序与帧结构,采用Max+PlusⅡ设计出了该系统的电路图,并在Max+PlusⅡ中对该电路进行了仿真。仿真结果表明,PCM30/32路系统共包含32路信息,其中包含30路话音信号和两路同步信息,每一路信息可以由D1~D8八位PCM编码表示。该软件使用简单,操作灵活,支持的器件多,设计输入方法灵活。 展开更多
关键词 PCM max+plusⅱ 帧结构 时序
下载PDF
MAX+PlusⅡ仿真软件在网络化电工中的应用与研究 被引量:1
13
作者 彭琛 王丽娟 《长沙大学学报》 2010年第5期46-47,共2页
电子设计自动化技术已经逐渐成为网络化电工中应用电子电路与系统设计的重要手段,其中MAX+PlusⅡ电路仿真软件对于网络化电工中相关内容的设计与研究起到了很大的作用.针对这种仿真软件在网络化电工中的应用与研究进行了一番探讨.
关键词 电子设计自动化技术 max+plusⅱ仿真软件 网络化电工
下载PDF
MAX+PLUSⅡ在数字电路实验教学中的应用 被引量:2
14
作者 肖林荣 《嘉兴学院学报》 2005年第3期13-15,共3页
该文简要介绍了MAX+PLUSⅡ开发PLD器件的方法,并通过三个实例说明了基于VerilogHDL语言的MAX+PLUSⅡ软件在数字电路实验教学中的应用,为数字电路的逻辑验证和实验教学提供了一种新的方法。
关键词 max+plusⅱ VERILOG HDL 数字电路 实验教学
下载PDF
用MAX+plusⅡ软件对“反馈清零法”的研究 被引量:2
15
作者 周友兵 《盐城工学院学报(自然科学版)》 CAS 2003年第1期76-78,共3页
介绍了用MAX +plusⅡ软件对“反馈清零法”的研究结果。利用MAX +plusⅡ软件的时序仿真功能 ,可以通过波形图直接观测出清零过程 ,便于学生理解和掌握。同时利用MAX+plusⅡ软件对“反馈置数法”进行了仿真。
关键词 maxplusⅱ软件 反馈清零法 原理图 仿真 波形 反馈置数法
下载PDF
基于MAX+PLUSⅡ软件平台设计数字频率计 被引量:1
16
作者 车轩玉 杨亚晶 陈玉增 《石家庄铁路工程职业技术学院学报》 2004年第2期46-49,共4页
应用MAX+PLUSⅡ软件通过原理图输入法,设计八位十进制数字频率计的一种方法, 并且通过时序仿真,验证设计的正确性.
关键词 max+PLUSII 软件平台设计 数字频率计 原理图输入法 计数器
下载PDF
用VHDL和MAX+plusⅡ进行数字电路的设计与仿真
17
作者 卜庆凯 贾振红 赵光胜 《新疆大学学报(自然科学版)》 CAS 2004年第3期317-319,324,共4页
本文以 VHDL为开发工具 ,以 MAX+ plus 为开发环境 ,以几个典型芯片为例 ,采用符合国际工业标准的 VHDL语言的行为级描述方法 。
关键词 多阵列矩阵及可编程逻辑用户系统 硬件描述语言 进程 仿真
下载PDF
基于MAX+PLUSⅡ的虚拟多功能物理参数测试仪的数字平台设计
18
作者 宋跃 时章明 +1 位作者 周明辉 张国良 《科技通报》 北大核心 2003年第1期38-41,共4页
为实现虚拟物理参数测试仪器的集成,提出了以可编程器件CPLD/FPGA为数字载体,借助VHDL硬件描述语言,在MAX+PLUSⅡ软件环境中来开发虚拟多功能物理参数测试仪数字平台的思想.依据这一思想已完成集频率计、数字IC测试仪、信号发生器、相... 为实现虚拟物理参数测试仪器的集成,提出了以可编程器件CPLD/FPGA为数字载体,借助VHDL硬件描述语言,在MAX+PLUSⅡ软件环境中来开发虚拟多功能物理参数测试仪数字平台的思想.依据这一思想已完成集频率计、数字IC测试仪、信号发生器、相位差计、多段存储计时仪等于一体的虚拟多功能物理参数测试仪的数字平台设计,并进行了模块仿真实验,实验效果好. 展开更多
关键词 max+plusⅱ 虚拟多功能物理参数测试仪 数字平台 VHDL 虚拟仪器 软件开发 设计方法
下载PDF
用MAX+PLUS Ⅱ开发Altera CPLD 被引量:2
19
作者 邹于丰 《电子工程师》 2002年第7期7-9,19,共4页
介绍利用 MAX+PLUS 软件对 Altera公司的 CPLD进行图形设计。
关键词 max+plusⅱ软件 CPLD 在线编程 可编程序控制器
下载PDF
基于VHDL和MAX+plusⅡ的时钟脉冲控制器的设计与仿真
20
作者 雷能芳 金轶锋 《渭南师范学院学报》 2007年第5期39-42,共4页
"时钟脉冲控制器"是指能够控制时钟脉冲按照预定的数目、完整无缺地发出的电路.文章介绍了在MAX+p lusⅡ平台下用VHDL语言进行时钟脉冲控制器设计的主要流程、VHDL程序及仿真波形,通过仿真波形验证了设计的正确性.
关键词 时钟脉冲控制器 VHDL max+plusⅱ 仿真
下载PDF
上一页 1 2 11 下一页 到第
使用帮助 返回顶部