期刊文献+
共找到10,856篇文章
< 1 2 250 >
每页显示 20 50 100
基于Power Designer的数据库系统构建 被引量:2
1
作者 曹风华 《电子科技》 2011年第10期104-105,共2页
Power Designer是一个运行在Windows平台上的系统建模工具,它可以使软件开发人员和数据库管理员协同工作,快速建立起软件的系统模型,为开发一个稳定可靠的软件打下基础。文中通过实例的建设过程,介绍了Power Designer的分析设计过程及... Power Designer是一个运行在Windows平台上的系统建模工具,它可以使软件开发人员和数据库管理员协同工作,快速建立起软件的系统模型,为开发一个稳定可靠的软件打下基础。文中通过实例的建设过程,介绍了Power Designer的分析设计过程及软件代码、数据库的生成过程。 展开更多
关键词 软件工程 power designER 系统模型
下载PDF
基于UML/Power Designer的信息系统分析方法 被引量:1
2
作者 乔钢柱 曾建潮 +1 位作者 郭银章 陈清 《电脑知识与技术》 2006年第2期156-157,共2页
本文主要针对基于UML/PowerDesigner的信息系统分析方法进行研究:在基于面向对象UML的平台上,以CRM客户关系管理信息系统为研究对象,对其需求模型,总体设计模型和详细设计模型进行了分析设计,并采用了基于UML建模语言的CASE工具PowerDes... 本文主要针对基于UML/PowerDesigner的信息系统分析方法进行研究:在基于面向对象UML的平台上,以CRM客户关系管理信息系统为研究对象,对其需求模型,总体设计模型和详细设计模型进行了分析设计,并采用了基于UML建模语言的CASE工具PowerDesigner进行进一步的辅助分析和设计,得到了CRM的相关实现模型。本文的研究对管理信息系统的分析方法提供了一种实用的技术途径。 展开更多
关键词 面向对象 UML power designER CRM
下载PDF
Power Designer在数据仓库系统开发过程中的应用研究 被引量:1
3
作者 廖飒 《柳州职业技术学院学报》 2006年第2期57-60,共4页
本文介绍了数据仓库系统的开发过程,对Power Designer在数据仓库系统开发过程中的应用进行了研究。
关键词 开发过程 power designER 数据仓库系统 应用
下载PDF
Power Designer在数据库设计中的应用 被引量:2
4
作者 肖海蓉 《电脑学习》 2010年第5期94-95,共2页
介绍了Power Designer工具的数据库建模流程。以网上商品销售系统的数据库设计为例,论述了Power Designera在数据库设计中的具体应用,从而使学生区分理论中各设计阶段的任务和关系,提高了数据库设计动手与实践能力。
关键词 Powdr designER 数据库设计 建模
下载PDF
Southwest Electric Power Design Institute in Advancing
5
《Electricity》 1999年第4期2-3,共2页
关键词 design In Southwest Electric power design Institute in Advancing
下载PDF
44 Years Achievement ofEast China Electric Power Design Institute
6
《Electricity》 1997年第4期2-3,共2页
关键词 design Years Achievement ofEast China Electric power design Institute
下载PDF
基于Power Designer的三维工程地质数据仓库模型构建——以长春市中心区为例
7
作者 黄静莉 《吉林地质》 2015年第4期132-136,共5页
论文以长春市地下空间的岩土体可利用性为研究主题,以勘察工程中提供的钻孔数据及其相关信息为源数据,采用Power Designer16.1设计并实现了长春市中心区的三维工程地质数据仓库的逻辑数据模型和物理数据模型。采用模型驱动架构的方式,... 论文以长春市地下空间的岩土体可利用性为研究主题,以勘察工程中提供的钻孔数据及其相关信息为源数据,采用Power Designer16.1设计并实现了长春市中心区的三维工程地质数据仓库的逻辑数据模型和物理数据模型。采用模型驱动架构的方式,利用反向工程技术从Access数据库系统中将现存的数据结构抽取出来形成数据模型,结合研究主题建立了星型数据结构模型,并将生成的SQL脚本文件导入SQL Server2005中进行检查修正后运行正常。 展开更多
关键词 城市地下空间 工程地质钻孔数据 三维工程地质数据仓库 power designER
下载PDF
Power Designer站网运行管理数据库设计 被引量:3
8
作者 冯柯 章文毅 《微计算机信息》 2009年第36期159-160,113,共3页
本文介绍了Power Designer的数据库设计方法,以及建立数据库模型的流程,利用Power Designer工具,对陆地观测卫星数据全国接收站网运行管理系统数据库,建立概念数据模型(CDM)、物理数据模型(PDM)。提出了在数据库设计阶段,影响数据库性... 本文介绍了Power Designer的数据库设计方法,以及建立数据库模型的流程,利用Power Designer工具,对陆地观测卫星数据全国接收站网运行管理系统数据库,建立概念数据模型(CDM)、物理数据模型(PDM)。提出了在数据库设计阶段,影响数据库性能的一些因素,并阐述了如何设计才可以优化数据库的性能。 展开更多
关键词 power designER 概念数据模型 物理数据模型 数据库性能
下载PDF
Ultra-Low Power Designing for CMOS Sequential Circuits
9
作者 Patikineti Sreenivasulu Srinivasa Rao Vinaya Babu 《International Journal of Communications, Network and System Sciences》 2015年第5期146-153,共8页
Power consumption is the bottleneck of system performance. Power reduction has become an important issue in digital circuit design, especially for high performance portable devices (such as cell phones, PDAs, etc.). M... Power consumption is the bottleneck of system performance. Power reduction has become an important issue in digital circuit design, especially for high performance portable devices (such as cell phones, PDAs, etc.). Many power reduction techniques have also been proposed from the system level down to the circuit level. High-speed computation has thus become the expected norm from the average user, instead of being the province of the few with access to a powerful mainframe. Power must be added to the portable unit, even when power is available in non-portable applications, the issue of low-power design is becoming critical. Thus, it is evident that methodologies for the design of high-throughput, low-power digital systems are needed. Techniques for low-power operation are shown in this paper, which use the lowest possible supply voltage coupled with architectural, logic style, circuit, and technology optimizations. The threshold vol-tages of the MTCMOS devices for both low and high Vth are constructed as the low threshold Vth is approximately 150 - 200 mv whereas the high threshold Vth is managed by varying the thickness of the oxide Tox. Hence we are using different threshold voltages with minimum voltages and hence considered this project as ultra-low power designing. 展开更多
关键词 Ultra-Low power design Dynamic power STATIC power SWITCHING ACTIVITIES LEAKAGE power power Optimization
下载PDF
基于PowerDesigner的家庭财务管理系统的数据库设计 被引量:2
10
作者 宋薇 李倩 薛立 《电脑知识与技术》 2015年第6X期2-5,共4页
该文介绍了基于Power Designer的家庭财务管理系统数据库建模的过程。通过对系统的需求和功能以及数据流程进行分析,设计系统所需数据项和数据结构。在数据库分析的基础上设计系统的实体和关系并使用Power Designer工具建立概念数据模型... 该文介绍了基于Power Designer的家庭财务管理系统数据库建模的过程。通过对系统的需求和功能以及数据流程进行分析,设计系统所需数据项和数据结构。在数据库分析的基础上设计系统的实体和关系并使用Power Designer工具建立概念数据模型,逻辑数据模型。根据关系模式以及转换规则得到数据库模式。 展开更多
关键词 家庭财务管理系统 概念数据模型 逻辑数据模型 物理数据模型 power designer
下载PDF
基于PowerDesigner的公路工程地理信息平台数据库建模 被引量:3
11
作者 冯永玖 童小华 刘妙龙 《工程勘察》 CSCD 北大核心 2008年第7期44-48,共5页
利用CASE工具PowerDesigner,设计了公路工程地理信息平台数据库模型。本文首先简述了PowerDesigner的建模方法与步骤,在分析平台系统总体结构的基础上,探讨了公路工程数据的组织,以及利用PowerDesigner建立概念数据模型和物理数据模型... 利用CASE工具PowerDesigner,设计了公路工程地理信息平台数据库模型。本文首先简述了PowerDesigner的建模方法与步骤,在分析平台系统总体结构的基础上,探讨了公路工程数据的组织,以及利用PowerDesigner建立概念数据模型和物理数据模型等建模的关键问题,通过广东省公路工程地理信息平台数据库建模实践,验证了文中的建模方法。 展开更多
关键词 公路工程地理信息平台 数据库建模 概念数据模型 物理数据模型 powerdesignER
下载PDF
Design of a Low Power DSP with Distributed and Early Clock Gating 被引量:1
12
作者 王兵 王琴 +1 位作者 彭瑞华 付宇卓 《Journal of Shanghai Jiaotong university(Science)》 EI 2007年第5期610-617,共8页
A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gatin... A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gating circuit in high speed circuit, a distributed and early clock gating method was developed on its instruction fetch & decoder unit, its pipelined data-path unit and its super-Harvard memory interface unit. The core was implemented following the Synopsys back-end flow under TSMC (Taiwan Silicon manufacture corporation) 0.18-μm 1.8-V 1P6M process, with a core size of 2 mm×2 mm. Result shows that it can run under 200 MHz with a power performance around 0.3 mW/MIPS. Meanwhile, only 39.7% circuit is active simultaneously in average, compared to its non-gating counterparts. 展开更多
关键词 digital signal processor (DSP) deterministic clock gating (DCG) distributed and early clock gating low power design pipeline
下载PDF
Design of Low-Power Data Logger of Deep Sea for Long-Term Field Observation 被引量:1
13
作者 赵伟 陈鹰 +2 位作者 杨灿军 曹建伟 顾临怡 《China Ocean Engineering》 SCIE EI 2009年第1期133-144,共12页
This paper describes the implementation of a data logger for the real-time in-situ monitoring of hydrothermal systems. A compact mechanical structure ensures the security and reliability of data logger when used under... This paper describes the implementation of a data logger for the real-time in-situ monitoring of hydrothermal systems. A compact mechanical structure ensures the security and reliability of data logger when used under deep sea. The data logger is a battery powered instrument, which can connect chemical sensors (pH electrode, H2S electrode, H2 electrode) and temperature sensors. In order to achieve major energy savings, dynamic power management is implemented in hardware design and software design. The working current of the data logger in idle mode and active mode is 15 μA and 1.44 mA respectively, which greatly extends the working time of battery. The data logger has been successftdly tested in the first Sino-American Cooperative Deep Submergence Project from August 13 to September 3, 2005. 展开更多
关键词 data logger low-power design deep sea long-term monitoring
下载PDF
利用PowerDesigner建立数据仓库多维模型的研究 被引量:1
14
作者 廖飒 《南宁师范高等专科学校学报》 2006年第1期73-75,共3页
本文介绍了数据仓库的多维模型,对使用PowerDesigner建立数据仓库多维模型进行研究, 并分析了其在“学生成绩分析”系统中应用。
关键词 数据仓库 多维模型 powerdesignER
下载PDF
基于Power Designer的数据库设计与实现 被引量:3
15
作者 盛锐 韵湘 《电脑应用技术》 2006年第2期1-5,共5页
本文通过实例介绍利用CASE工具Power Desi印er开发信息管理系统的过程,通过OOM、CDM、PDM的功能介绍和相互转换关系来说明开发过程中需要注意的各种问题。
关键词 power designer统一建模语言(UML) 面向对象模型(OOM) 概念数据模 型(CDM) 物理数据模型(PDM) 数据库管理系统(DBMS) 逆向工程
原文传递
A vector inserting TPG for BIST design with low peak power consumption 被引量:2
16
作者 谈恩民 Song Shengdong Shi Wenkang 《High Technology Letters》 EI CAS 2007年第4期418-421,共4页
A test pattern generator (TPG) which can highly reduce the peak power consumption during built-in self-test (BIST) application is proposed. The proposed TPG, called LPpe-TPG, consists of a linear feedback shift re... A test pattern generator (TPG) which can highly reduce the peak power consumption during built-in self-test (BIST) application is proposed. The proposed TPG, called LPpe-TPG, consists of a linear feedback shift register (LFSR) and some control circuits. A procedure is presented firstly to make compare vectors between pseudorandom test patterns by adding some circuits to the original LFSR and secondly to insert some vectors between two successive pseudorandom test patterns according to the ordinal selection of every two bits of the compare vector. Then the changes between any successive test patterns of the test set generated by the LPpe-TPG are not more than twice. This leads to a decrease of the weighted switching activity (WSA) of the circuit under test (CUT) and therefore a reduction of the power consumption. Experimental results based on some ISCAS' 85 benchmark circuits show that the peak power consumption has been reduced by 25.25% to 64.46%. Also, the effectiveness of our approach to reduce the total and average power consumption is kept, without losing stuck-at fault coverage. 展开更多
关键词 low peak power consumption design built-in self-test (BIST) test pattern generator(TPG) linear feedback shift register (LFSR) weighted switching activity (WSA)
下载PDF
Structural Design and Protective Methods for the 100 kW Shoreline Wave Power Station 被引量:7
17
作者 游亚戈 郑永红 +2 位作者 马玉久 余志 蒋念东 《海洋工程:英文版》 2003年第3期439-448,共10页
The structural design and protective methods for the 100 kW shoreline wave power station in China are described in detail. The proper structural type is designed for effective minimization of wave loads and bending st... The structural design and protective methods for the 100 kW shoreline wave power station in China are described in detail. The proper structural type is designed for effective minimization of wave loads and bending stress. Various protective devices are adopted to protect the station in the extreme conditions against excessive power of airflow, excessive torsion of the shaft, over-pressure of the chamber, over-speed of rotation, power failure, and so on. It turns out that the structural design and protective methods for the 100 kW shoreline wave power station are successful. 展开更多
关键词 wave power station structural design protective measures
下载PDF
Preliminary Design of Poloildal Field Power Supply for HT-7U Super-conducting Tokamak 被引量:4
18
作者 刘正之 许家治 +5 位作者 傅鹏 陶骏 温家良 黄琪 李俊 高格 《Plasma Science and Technology》 SCIE EI CAS CSCD 2000年第6期529-535,共7页
This paper presents the preliminary design of poloidal field power supply system of HT-7U super-conducting tokamak. With an emphasis on AC/DC power converter, DC circuit breaker, quench protection, harmonic suppressio... This paper presents the preliminary design of poloidal field power supply system of HT-7U super-conducting tokamak. With an emphasis on AC/DC power converter, DC circuit breaker, quench protection, harmonic suppression and static var. compensation, and AC power system, the design principle and features are introduced, the design scheme and R & D progress are described, the simulation studies and laboratory test are presented too. 展开更多
关键词 Preliminary design of Poloildal Field power Supply for HT-7U Super-conducting Tokamak PFPS HT
下载PDF
基于Design-Expert的电链锯锯齿结构参数优化
19
作者 刘九庆 张天翼 +1 位作者 金攀 朱斌海 《森林工程》 北大核心 2024年第2期142-150,共9页
为提高电链锯的锯切效率,对电链锯锯齿的齿形结构参数进行研究,以单位锯切功为电链锯锯切效率的衡量指标,通过对电链锯锯切过程的仿真研究,得出不同结构锯齿的单位锯切功。以电链锯锯齿中的外形前角、侧刃楔角和顶刃楔角等结构参数作为... 为提高电链锯的锯切效率,对电链锯锯齿的齿形结构参数进行研究,以单位锯切功为电链锯锯切效率的衡量指标,通过对电链锯锯切过程的仿真研究,得出不同结构锯齿的单位锯切功。以电链锯锯齿中的外形前角、侧刃楔角和顶刃楔角等结构参数作为影响因子,采用Box-Benhnken中心组合试验方法设计多因素正交试验,使用Design-expert软件进行数据分析得出最优齿形结构参数组合。研究结果表明,所选取的齿形结构参数对单位锯切功影响程度由大到小顺序依次为外形前角、侧刃楔角、顶刃楔角,并获得最优齿形结构参数组合,外形前角为10.92°、侧刃楔角为45.7°、顶刃楔角为45.41°。 展开更多
关键词 电链锯 锯切效率 齿形结构参数 design-expert 单位锯切功
下载PDF
Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm
20
作者 Shunrui Li Jianjun Chen +2 位作者 Zuocheng Xing Jinjin Shao Xi Peng 《Journal of Computer and Communications》 2015年第11期164-168,共5页
With the rapid development of integrated circuits [1], low power consumption has become a constant pursuiting goal of the designer in chip design. As the memory almost takes up the area of the chip, reducing memory po... With the rapid development of integrated circuits [1], low power consumption has become a constant pursuiting goal of the designer in chip design. As the memory almost takes up the area of the chip, reducing memory power consumption will significantly reduce the overall power consumption of the chip;according to ISSCC’s 2014 report about technology trends discussions, there two points of the super-low power SRAM design: 1) design a more effective static and dynamic power control circuit for each key module of SRAM;2) ensure that in the case of the very low VDD min, SRAM can operating reliably and stably. This paper makes full use reliable of 8T cell, and the single-port sense amplifier has solved problems in the traditional 8T cell structure, making the new structure of the memory at a greater depth still maintain good performance and lower power consumption. Compared with the designed SRAM the SRAM generated by commercial compiler, as the performance loss at SS corner does not exceed 10%, the whole power consumption could be reduced by 54.2%, which can achieve a very good effect of low-power design. 展开更多
关键词 Single PORT SENSE AMPLIFIER SRAM design Low power design 8T SRAM
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部