期刊文献+
共找到165篇文章
< 1 2 9 >
每页显示 20 50 100
利用光电振荡器实现10Gbit/s NRZ码时钟的直接提取和码型转换 被引量:5
1
作者 霍力 董毅 +1 位作者 娄采云 高以智 《电子学报》 EI CAS CSCD 北大核心 2002年第9期1305-1307,共3页
本文所研究的光电振荡器(OEO)是一种高速光电混合环路,其振荡频率可以被锁定于外界信号的数据率.本文利用OEO首次实现10Git/s的非归零码(NRZ)时钟提取,获得了时间抖动小于0.4ps的时钟信号,测得OEO的注人锁定频率范围可达800kHz.实... 本文所研究的光电振荡器(OEO)是一种高速光电混合环路,其振荡频率可以被锁定于外界信号的数据率.本文利用OEO首次实现10Git/s的非归零码(NRZ)时钟提取,获得了时间抖动小于0.4ps的时钟信号,测得OEO的注人锁定频率范围可达800kHz.实验中发现OEO中凋制器的偏置电压对OEO的注入锁定范围有很大影响.合理控制OEO的工作条件,在进行时钟提取的同时,还可以实现NRZ码到RZ(归零)码的码型转化.将转换后的RZ码进行了160km传输,结果证明这种码型适合传输,该实验说明OEO可以用作不同码型光网络中间的码型转化节点. 展开更多
关键词 码型转换 光电振荡器 非归零码 时钟提取 光通信 OEO Nrz rz 归零码
下载PDF
DRZ和MD-RZ码光纤传输性能分析 被引量:3
2
作者 殷爱菡 周薇 +1 位作者 刘方仁 展爱云 《光通信技术》 CSCD 北大核心 2010年第10期44-46,共3页
介绍了光双二进制归零码DRZ和改进的双二进制归零码MD-RZ的产生原理和特点,通过光通信仿真软件产生了这两种信号,并给出了光谱图。重点设计了一个40Gb/s的单信道光纤传输系统,对两种码型进行了模拟,通过对Q值的结果来分析其非线性容限... 介绍了光双二进制归零码DRZ和改进的双二进制归零码MD-RZ的产生原理和特点,通过光通信仿真软件产生了这两种信号,并给出了光谱图。重点设计了一个40Gb/s的单信道光纤传输系统,对两种码型进行了模拟,通过对Q值的结果来分析其非线性容限和传输距离,并与CSRZ码的传输性能进行对比。 展开更多
关键词 Drz MD—rz 非线性容限 Q值
下载PDF
基于全光3R再生器的RZ码逻辑转换研究
3
作者 薛建华 《中文科技期刊数据库(全文版)工程技术》 2023年第6期1-4,共4页
光信号在光纤链路中传输会受到噪声积累、残余色散以及串扰等影响,在长距离传输后光信号的消光比会下降,振幅的抖动增大,信号质量劣化,因此对传输后的光信号全光3R再生技术就很有必要。一个理想的光再生器通过实现3个功能,即再放大、再... 光信号在光纤链路中传输会受到噪声积累、残余色散以及串扰等影响,在长距离传输后光信号的消光比会下降,振幅的抖动增大,信号质量劣化,因此对传输后的光信号全光3R再生技术就很有必要。一个理想的光再生器通过实现3个功能,即再放大、再整形和在定时,将劣化的比特流转化成原始状态。实现再定时是2R再生器和3R再生器的区别,且都不得不工作在比比特间隙段的时间尺度,从而实现脉冲的再整形和再定时,因此经常使用高非线性光纤(HNLF)。HNLF在全光3R再生技术中具有较好的应用前景,研究基于XPM的全光3R再生具有重要意义。本文提出了一种基于XPM效应实现全光RZ信号的3R再生方案,进行了10Gb/s、25Gb/s、50Gb/s比特率下RZ信号的全光3R再生实验。 展开更多
关键词 应用光学 3R再生器 交叉相位调制(XPM) 归零码(rz)
下载PDF
RZ码和NRZ码的偏振模色散自适应补偿特性比较
4
作者 陈罗湘 陈林 《光通信技术》 CSCD 北大核心 2007年第1期55-57,共3页
建立了自适应偏振模色散补偿系统,利用偏振度作为反馈信号,对40Gb/s的RZ和NRZ码分别进行了PMD补偿的数值模拟,结果显示,采用DOP作反馈信号、用二段补偿器和三段补偿器对二种码型的PMD补偿均是有效的。但由于高阶PMD的影响对NRZ码的补偿... 建立了自适应偏振模色散补偿系统,利用偏振度作为反馈信号,对40Gb/s的RZ和NRZ码分别进行了PMD补偿的数值模拟,结果显示,采用DOP作反馈信号、用二段补偿器和三段补偿器对二种码型的PMD补偿均是有效的。但由于高阶PMD的影响对NRZ码的补偿效果要优于RZ码,特别是存在偏振相关色散的影响时,对RZ码的补偿的影响在明显大于NRZ码,这说明,对于RZ码补偿偏振相关色散是必要的。 展开更多
关键词 偏振模色散 偏振度 rz Nrz
下载PDF
利用相位调制器实现占空比可调的NRZ到RZ码的码型转换
5
作者 江阳 《贵州大学学报(自然科学版)》 2007年第1期87-89,97,共4页
提出了利用相位调制器和色散补偿光纤(DCF)把非归零(NRZ)码信号转换成为占空比可调的归零(RZ)码信号的方案。从原理上分析了调制信号功率与色散介质色散量对所产生结果的影响,得到通过改变调制深度和DCF总色散量可以使NRZ码转换为占空... 提出了利用相位调制器和色散补偿光纤(DCF)把非归零(NRZ)码信号转换成为占空比可调的归零(RZ)码信号的方案。从原理上分析了调制信号功率与色散介质色散量对所产生结果的影响,得到通过改变调制深度和DCF总色散量可以使NRZ码转换为占空比可调的RZ码的结论。实验给出了在10Gb/s速率下的实验结果,得到了很好的转换后的RZ码输出。在17dBm的调制功率下,所得的RZ码占空比为32.6%。 展开更多
关键词 相位调制器 色散补偿光纤 Nrz rz 码型转换
下载PDF
提高RZ码偏振度椭球对偏振模色散响应范围的方法 被引量:2
6
作者 陈林 文双春 +5 位作者 周光涛 郑远 张晓光 于丽 张茹 杨伯君 《光子学报》 EI CAS CSCD 北大核心 2005年第5期706-709,共4页
提出了使用适当带宽的光带通滤波器和选择合适的脉冲宽度两种方法来增加RZ码光纤通信系统中偏振度椭球对偏振模色散的响应范围,模拟计算得到了40Gb/s的RZ码在不同脉冲宽度以及同一脉冲宽度下不同的滤波器带宽两种情况下偏振度椭球的短... 提出了使用适当带宽的光带通滤波器和选择合适的脉冲宽度两种方法来增加RZ码光纤通信系统中偏振度椭球对偏振模色散的响应范围,模拟计算得到了40Gb/s的RZ码在不同脉冲宽度以及同一脉冲宽度下不同的滤波器带宽两种情况下偏振度椭球的短轴随偏振模色散的变化情况 结果表明,采用这二种方法可以明显地提高偏振度椭球的短轴对偏振模色散的响应范围 另外,数值模拟结果还表明对于40Gb/s的系统滤波器带宽应大于50Hz,光源脉宽应在4ps^10ps较为合适 通过实验证明了这二种方案是可行的。 展开更多
关键词 偏振模色散补偿 偏振度椭球 rz
下载PDF
端粒酶核酶真核表达质粒pBBS212Rz的构建与鉴定 被引量:4
7
作者 刘先军 吴清明 +2 位作者 刘重贞 于皆平 王强 《世界华人消化杂志》 CAS 2002年第11期1261-1263,共3页
目的:构建含人端粒酶RNA(hTR)核酶基因的真核表达质粒pBBS212Rz,为以端粒酶为靶点的基因治疗消化系肿瘤研究奠定基础.方法:将人工合成的端粒酶核酶基因通过基因重组定向克隆插入到真核表达质粒载体pBBS212中.根据260nm的紫外光吸收值计... 目的:构建含人端粒酶RNA(hTR)核酶基因的真核表达质粒pBBS212Rz,为以端粒酶为靶点的基因治疗消化系肿瘤研究奠定基础.方法:将人工合成的端粒酶核酶基因通过基因重组定向克隆插入到真核表达质粒载体pBBS212中.根据260nm的紫外光吸收值计算重组质粒pBBS212Rz浓度.结果:端粒酶核酶基因成功地定向插入了载体pBBS212,经酶切后用聚丙烯凝胶电泳鉴定确认.重组质粒浓度为1.77g/L.结论:成功构建了含人端粒酶RNA(hTR)核酶基因的真核表达重组质粒pBBS212Rz. 展开更多
关键词 端粒酶核酶 真核表达质粒 pBBS212rz 构建 鉴定 基因重组 消化系肿瘤
下载PDF
40Gb/s单信道RZ调制格式光传输色散补偿的比较 被引量:6
8
作者 潘青 文爱军 《光通信技术》 CSCD 北大核心 2006年第8期61-62,共2页
对基于RZ调制格式的单通道40Gb/s系统的色度色散补偿进行了仿真,比较了前置、后置、对称、预失真补偿的传输性能,最终得出长距离传输时,预失真补偿和对称补偿的性能最优。
关键词 色度色散 非线性 rz调制格式
下载PDF
FZ-Domain的基与抽象基的RZ-理想完备化 被引量:3
9
作者 刘敏 赵彬 《陕西师范大学学报(自然科学版)》 CAS CSCD 北大核心 2011年第2期8-12,共5页
在FZ-Domain中引入FZ-定向基与FZ-抽象基的概念,研究了它们的RZ-理想完备化.证明了:对一类子集系统,一个FZ-Domain的FZ-定向基的定向RZ-理想完备化同构于该FZ-Domain;偏序集P是FZ-Domain当且仅当存在一个FZ-抽象基B使得P同构于B的定向的... 在FZ-Domain中引入FZ-定向基与FZ-抽象基的概念,研究了它们的RZ-理想完备化.证明了:对一类子集系统,一个FZ-Domain的FZ-定向基的定向RZ-理想完备化同构于该FZ-Domain;偏序集P是FZ-Domain当且仅当存在一个FZ-抽象基B使得P同构于B的定向的RZ-理想完备化. 展开更多
关键词 FZ-Domain 子集系统 FZ-基 FZ-抽象基 rz-理想完备化
下载PDF
RZ-DPSK编码在高速长距光传输网中的应用 被引量:2
10
作者 张帅 崔本亮 杨进 《光通信技术》 CSCD 北大核心 2009年第7期24-26,共3页
主要阐述了RZ-DPSK编码的产生机理,着重从非线性容限和色度色散容限两个方面来研究该调制格式的传输性能。通过对40Gb/s的系统进行计算机仿真来进一步论证所得的理论结果,并应用到实际的680km常规单模光纤上进行长距离的传输实验。
关键词 调制格式 非线性效应 色度色散容限 rz-DPSK
下载PDF
利用偏振延时干涉装置的NRZ到RZ全光码型变换
11
作者 张晓媛 康文惠 +1 位作者 黄小航 张世彩 《光通信技术》 CSCD 北大核心 2014年第3期31-33,共3页
提出一种基于偏振延时干涉仪(PDI)的非归零(NRZ)码到归零(RZ)码的全光码型转换方案。理论推导光信号经过PDI时光场的演变过程,分析了码型转换的原理。数值仿真实现了10Gb/s速率下NRZ到RZ码的转换,通过比较得出转换后的RZ码具有更高的接... 提出一种基于偏振延时干涉仪(PDI)的非归零(NRZ)码到归零(RZ)码的全光码型转换方案。理论推导光信号经过PDI时光场的演变过程,分析了码型转换的原理。数值仿真实现了10Gb/s速率下NRZ到RZ码的转换,通过比较得出转换后的RZ码具有更高的接收灵敏度。改变双折射介质的差分群时延可以得到不同占空比的RZ信号输出。 展开更多
关键词 光纤通信 偏振延时干涉仪 Nrz rz 码型转换
下载PDF
CS-RZ码在高速系统中传输性能的研究 被引量:5
12
作者 曾晖 杨铸 《光通信研究》 北大核心 2004年第2期1-3,共3页
文章介绍了载波抑制归零码(CS RZ)的产生机制及其优良的传输性能,并采用计算机仿真比较了CS RZ、非归零(NRZ)和归零(RZ),这三种码在40Gbit/s速率下的传输性能.CS RZ码抗自相位调制(SPM)比较好,而且有高的色散容限.从色散容限和SPM容限... 文章介绍了载波抑制归零码(CS RZ)的产生机制及其优良的传输性能,并采用计算机仿真比较了CS RZ、非归零(NRZ)和归零(RZ),这三种码在40Gbit/s速率下的传输性能.CS RZ码抗自相位调制(SPM)比较好,而且有高的色散容限.从色散容限和SPM容限的角度来说,CS RZ码是一种最好的调制格式. 展开更多
关键词 CS-rz 载波抑制归零码 色散容限 功率代价 眼开度代价 调制技术
下载PDF
RZ8664型实验箱在信号时域分析实验教学中的应用 被引量:3
13
作者 尚丽 黄艳 《苏州市职业大学学报》 2015年第1期54-61,共8页
信号时域分析方法是"信号与系统"课程中的重点教学内容,涉及到常见信号的数学描述、波形分析、综合变换、卷积分析、全响应等知识,数学公式较多,理论性较强,学生不易理解和应用.为了使学生易懂易学,在实践教学中采用RZ8664型... 信号时域分析方法是"信号与系统"课程中的重点教学内容,涉及到常见信号的数学描述、波形分析、综合变换、卷积分析、全响应等知识,数学公式较多,理论性较强,学生不易理解和应用.为了使学生易懂易学,在实践教学中采用RZ8664型信号与系统实验箱,连接相应的信号处理模块后,通过示波器可以直观地观测到信号时域分析的实时结果,增强学生对理论知识点的理解,在一定程度上提高学生的动手能力和独立分析问题能力,取得较好的教学效果. 展开更多
关键词 信号时域分析 信号与系统 rz8664型实验箱 实践教学 信号处理模块
下载PDF
NRZ伪随机码序列同步时钟提取 被引量:8
14
作者 孙占华 吴靖 《数字通信》 1999年第4期20-21,55,共3页
在光纤数字通信系统中,NRZ非归零码是一种最常见的基带信号。它本身不含有位同步时钟分量。只有对其进行非线性处理,转换成RZ归零码后,方可提取出同步时钟。本文通过对NRZ,RZ伪随机码序列进行频谱分析,得知当NRZ码变... 在光纤数字通信系统中,NRZ非归零码是一种最常见的基带信号。它本身不含有位同步时钟分量。只有对其进行非线性处理,转换成RZ归零码后,方可提取出同步时钟。本文通过对NRZ,RZ伪随机码序列进行频谱分析,得知当NRZ码变换成码元占空比为1/2 的RZ码时,所提取出的同步时钟功率最强。 展开更多
关键词 Nrz非归零码 伪随机码 同步时钟 光纤数字通信
下载PDF
基于MATLAB和RZ8664的矩形信号分解与合成的实验分析 被引量:1
15
作者 尚丽 张培 黄艳 《苏州市职业大学学报》 2016年第1期13-19,共7页
周期信号的分解与合成是"信号与系统"课程中一个重要的教学内容,其理论基础是傅里叶级数,对高职院校的学生而言理解有一定难度.为了使学生易懂易学,以典型的周期矩形信号为例,首先在理论讲解时引入了信号分解与合成的MATLAB... 周期信号的分解与合成是"信号与系统"课程中一个重要的教学内容,其理论基础是傅里叶级数,对高职院校的学生而言理解有一定难度.为了使学生易懂易学,以典型的周期矩形信号为例,首先在理论讲解时引入了信号分解与合成的MATLAB仿真图形,使学生对信号的分解和合成过程有视觉上的直观认知,有助于理论知识的消化;然后采用RZ8664型信号与系统实验箱对矩形信号的分解与合成进行理论验证,并与MATLAB的仿真结果进行实验对比分析,所得的实验结果能使学生更好地理解和掌握信号分解与合成的原理,在实际教学中取得较好的效果. 展开更多
关键词 信号分解与合成 信号与系统 MATALB仿真 rz8664型实验箱 实践教学
下载PDF
RZ-DPSK光信号新型解调系统的设计与实现
16
作者 马磊 季伟 +2 位作者 薛旭伟 侯庆飞 李彬先 《光通信技术》 CSCD 北大核心 2014年第12期35-37,共3页
设计并实现了一种RZ-DPSK光信号解调系统。该系统采用廉价的SFP+光模块替代昂贵的平衡接收机进行信号的光电转换,并设计了数字平衡检测算法对延迟干涉仪进行动态调整,以找到其最佳工作点降低系统的误码率。
关键词 rz-DPSK 解调 SFP+ 延时干涉仪 数字平衡检测
下载PDF
W UMa型相接双星RZ Com的CCD测光研究
17
作者 向福元 《湘潭大学自然科学学报》 CAS CSCD 2004年第1期55-57,共3页
 对WUMa型相接双星RZCom的B光观测资料进行了分析,用最新版本的Wilson-Devinney程序解出了轨道参数,结果显示:RZCom是一个W次型相接双星系统,其质量比q=m2/m1=2.354,次子星温度T2=5486K,与Wilson等人(1973)的结果非常接近.研究结果表明...  对WUMa型相接双星RZCom的B光观测资料进行了分析,用最新版本的Wilson-Devinney程序解出了轨道参数,结果显示:RZCom是一个W次型相接双星系统,其质量比q=m2/m1=2.354,次子星温度T2=5486K,与Wilson等人(1973)的结果非常接近.研究结果表明,RZCom没有明显的活动迹象. 展开更多
关键词 恒星 食双星 相接双星 单星 rz COM
下载PDF
基于MZM的RZ/CSRZ-DQPSK信号产生的新方法 被引量:5
18
作者 应祥岳 徐铁峰 +1 位作者 刘太君 聂秋华 《红外与激光工程》 EI CSCD 北大核心 2012年第3期755-758,共4页
差分相移键控(DPSK)和差分正交相移键控(DQPSK)对光纤非线性具有很高的容忍度,且灵敏度高,在高速、高频谱效率系统中具有优越性。提出了一种基于双驱动马赫-曾德尔调制器(MZM)的差分正交相移键控归零码(RZ-DQPSK)和差分正交相移键控载... 差分相移键控(DPSK)和差分正交相移键控(DQPSK)对光纤非线性具有很高的容忍度,且灵敏度高,在高速、高频谱效率系统中具有优越性。提出了一种基于双驱动马赫-曾德尔调制器(MZM)的差分正交相移键控归零码(RZ-DQPSK)和差分正交相移键控载波抑制归零码(CSRZ-DQPSK)信号产生的新方法。利用一个双驱动MZM实现DQPSK调制,另一个MZM实现波形切割,生成RZ/CSRZ-DQPSK信号。给出了详细的理论推导过程。该方法简化了高速RZ/CSRZ-DQPSK光信号的产生过程,减少了调制器个数。仿真结果表明,CSRZ-DQPSK信号载波频率分量被抑制,具有比RZ-DQPSK信号更紧凑的频谱结构,采用平衡相干检测接收方法接收到的信号有清晰的眼图。 展开更多
关键词 差分正交相移键控归零码 差分正交相移键控载波抑制归零码 马赫-曾德尔调制器
下载PDF
40Gb/sWDM系统中RZ&NRZ调制格式的性能比较 被引量:5
19
作者 张慧剑 左萌 +1 位作者 孙学明 顾畹仪 《光通信技术》 CSCD 北大核心 2004年第12期44-46,共3页
利用数值计算的方法对40GWDM系统进行了仿真。在考虑了光纤群速度色散(GVD)、三阶色散(TOD)、自相位调制(SPM)、交叉相位调制(XPM)、四波混频(FWM)和偏振模色散(PMD)的前提下,通过对比眼图和Q因子,对归零码(RZ)和非归零码(NRZ)调制格式... 利用数值计算的方法对40GWDM系统进行了仿真。在考虑了光纤群速度色散(GVD)、三阶色散(TOD)、自相位调制(SPM)、交叉相位调制(XPM)、四波混频(FWM)和偏振模色散(PMD)的前提下,通过对比眼图和Q因子,对归零码(RZ)和非归零码(NRZ)调制格式的传输性能进行了研究。 展开更多
关键词 光纤通信 调制格式 数值仿真 归零码 非归零码 波分复用
下载PDF
基于正交调制PolSK/Inverse-RZ的光标记技术
20
作者 瞿科锋 肖石林 +3 位作者 刘智鑫 赵智慧 梁铮 丁兆昆 《光通信技术》 CSCD 北大核心 2009年第3期53-55,共3页
光标记技术是一种实现光分组交换的重要技术。文章提出并用实验验证了一种基于正交调制PolSK/Inverse-RZ的新型光标记方案,该方案用Inverse-RZ作载荷信号,用PolSK作标记信号。由于Inverse-RZ信号在比特"1"和比特"0"... 光标记技术是一种实现光分组交换的重要技术。文章提出并用实验验证了一种基于正交调制PolSK/Inverse-RZ的新型光标记方案,该方案用Inverse-RZ作载荷信号,用PolSK作标记信号。由于Inverse-RZ信号在比特"1"和比特"0"时都具有光功率,在PolSK信号检测时信息一直保持着,因此通常使用ASK信号标记的技术在消光比方面的限制被解决了。 展开更多
关键词 光分组交换 PolSK Inverse-rz 消光比
下载PDF
上一页 1 2 9 下一页 到第
使用帮助 返回顶部