期刊文献+
共找到16篇文章
< 1 >
每页显示 20 50 100
单晶SADP中的单位平行四边形概念及其应用
1
作者 张启海 孙丽虹 《稀有金属材料与工程》 SCIE EI CAS CSCD 1995年第4期78-79,共2页
单晶SADP中的单位平行四边形概念及其应用张启海,孙丽虹(北京有色金属研究总院,北京100088)在SADP中,从中心斑点到两个不在同一方向上的衍射斑点的连线为邻边构成平行四边形,若平行四边形所围的闭域中,除顶角处的... 单晶SADP中的单位平行四边形概念及其应用张启海,孙丽虹(北京有色金属研究总院,北京100088)在SADP中,从中心斑点到两个不在同一方向上的衍射斑点的连线为邻边构成平行四边形,若平行四边形所围的闭域中,除顶角处的一个中心斑点和三个衍射斑点外,别无... 展开更多
关键词 单晶 sadp 平行四边形 标定
下载PDF
复杂结构单晶SADP的标定
2
作者 张启海 《稀有金属材料与工程》 SCIE EI CAS CSCD 1994年第4期17-25,共9页
以钛合金中相的单晶选区电子衍射花样(SADP)的标定为例,探讨了复杂结构单晶SADP的标定。指出,对复杂结构的晶体可按其衍射条件从下述方法中选择一种对其单晶SADP进行标定:1、按其所属布喇菲点阵用现有方法分析后直接... 以钛合金中相的单晶选区电子衍射花样(SADP)的标定为例,探讨了复杂结构单晶SADP的标定。指出,对复杂结构的晶体可按其衍射条件从下述方法中选择一种对其单晶SADP进行标定:1、按其所属布喇菲点阵用现有方法分析后直接标定;2、按适当布喇菲点阵用现有方法分析然后将结果进行数学变换后进行标定;3、对现有方法无法进行协定的复杂结构按其衍射条件对现有分析程序修改后(纯hkl法)进行分析标定。当存在结构消光时,二次衍射对标定方法有影响:可分别用已有程序和修改后的程序对SADP进行分析,然后选择正确的结果进行标定;理想的途径是在修改的程序中包括二次衍射的影响,从而直接得出正确的结果。 展开更多
关键词 复杂结构 sadp 标定 钛合金
下载PDF
密排六方结构晶体SADP标定中的问题及解决途径
3
作者 张启海 《稀有金属材料与工程》 SCIE EI CAS CSCD 1996年第4期16-19,共4页
钛的α相选区电子衍射花样(SADP)标定的实践表明,现有的方法,包括标准图表和计算机程序在标定时只能对h.c.p.结构晶体部分的SADP实现正确的标定。运用纯hkl法和根据h.c.p.结构衍射条件及二次衍射规律编制的... 钛的α相选区电子衍射花样(SADP)标定的实践表明,现有的方法,包括标准图表和计算机程序在标定时只能对h.c.p.结构晶体部分的SADP实现正确的标定。运用纯hkl法和根据h.c.p.结构衍射条件及二次衍射规律编制的分析用计算机程序,可实现h.c.p. 展开更多
关键词 电子衍射 sadp标定 密排六方结构 晶体
下载PDF
单晶SADP标定程序编制的纯hkl法
4
作者 张启海 《稀有金属》 EI CAS CSCD 北大核心 1995年第3期177-181,共5页
指出为分析复杂结构晶体的单晶SADP用hkl法编制计算机程序时遇到的困难。提出一种编制程序的纯hkl法,以实例给出了用这种方法编制的程序对Ti_3-Nb合金中O相的单晶SADP的标定结果。
关键词 hkl 单晶 sadp标定 晶体结构 程序设计
下载PDF
大型旋转机械信号分析系统SADP 被引量:5
5
作者 韩捷 张瑞林 《郑州工学院学报》 1996年第1期52-57,共6页
本文介绍了一套以石油、化工、发电、冶金等行业的大型旋转设备为对象的信号分析与数据处理系统.该系统是国家“五’重大技术攻关项目“大型旋转机械在线监测与故障诊断系统”的关键部分之一。本文概括说明了系统在动态计算、数据管理... 本文介绍了一套以石油、化工、发电、冶金等行业的大型旋转设备为对象的信号分析与数据处理系统.该系统是国家“五’重大技术攻关项目“大型旋转机械在线监测与故障诊断系统”的关键部分之一。本文概括说明了系统在动态计算、数据管理、分析处理功能等方面的特点,并就各功能的实现及开发过程中一些关键问题进行了讨论. 展开更多
关键词 旋转机械 信号分析 sadp 在线监测 故障诊断
下载PDF
SADP获得NAND闪存的青睐
6
作者 Chris Bencher 《集成电路应用》 2008年第11期34-36,共3页
侧壁间隔层转印图形化技术,也可称为频率加倍、节距降低、间隔层掩膜图形化或者SADP,正更多地为NAND闪存制造商所采纳。本文描述了这一技术对应的通用工艺流程,并展示了这一技术的加工能力。
关键词 NAND闪存 sadp 图形化技术 工艺流程 加工能力 间隔层 制造商
下载PDF
SADP工艺中一类特殊二维图形的分解处理
7
作者 宋长庚 《电子与封装》 2017年第2期40-42,47,共4页
自对准双重图型(SADP)技术广泛应用于28 nm以下节点逻辑电路制造工艺和存储器制造工艺。与其他双重图形技术(LELE,LPLE)相比,在处理二维图形分解时,SADP面临更复杂的要求。针对一种简单的二维图形,介绍了3种图形分解方法,可以有效改善... 自对准双重图型(SADP)技术广泛应用于28 nm以下节点逻辑电路制造工艺和存储器制造工艺。与其他双重图形技术(LELE,LPLE)相比,在处理二维图形分解时,SADP面临更复杂的要求。针对一种简单的二维图形,介绍了3种图形分解方法,可以有效改善线宽和对准工艺窗口。 展开更多
关键词 自对准双重图形 二维图形 图形分解 工艺窗口
下载PDF
单晶SADP标定程序编制的纯hkl法要点 被引量:1
8
作者 张启海 《云南大学学报(自然科学版)》 CAS CSCD 1995年第S1期35-38,共4页
指出为分析复杂结构晶体的单晶SADP用hkl法编制计算机程序时遇到的困难,提出一种纯hkl法并给出了这种方法的特有部分的数理分析结果.
关键词 纯hkl法 程序编制 单晶选区电子衍射花样 标定 晶面指数 晶带轴指数
原文传递
“放管服”改革促进企业投资的内在机制与实证检验 被引量:2
9
作者 张超 宋华盛 《商业研究》 北大核心 2023年第3期101-109,共9页
“放管服”改革是优化营商环境的重要举措,其对市场主体活力的影响机理尚无定论。本文以2015年我国各地深化“放管服”改革实施为准自然实验,以优化营商环境为切入点,采用双重差分法分析“放管服”改革对企业投资的影响。研究证明:“放... “放管服”改革是优化营商环境的重要举措,其对市场主体活力的影响机理尚无定论。本文以2015年我国各地深化“放管服”改革实施为准自然实验,以优化营商环境为切入点,采用双重差分法分析“放管服”改革对企业投资的影响。研究证明:“放管服”改革政策显著促进了企业投资、有助于激发市场活力,促进了经济高质量发展;相比国有企业和大型企业,“放管服”改革对非国有企业和中小企业的投资活动促进程度更大,这种效应主要通过提高企业投资回报率和缓解企业外部融资约束发挥作用。因此,应持续深化“放管服”改革,重视“放管服”改革对企业投资的促进效应,降低制度性交易成本,为激发市场活力提供制度保障。 展开更多
关键词 “放管服”改革 营商环境 企业投资 双重差分法
下载PDF
TiNi合金B2相选区电子衍射花样中的漫散射条纹 被引量:4
10
作者 张启海 《稀有金属材料与工程》 SCIE EI CAS CSCD 1993年第6期13-20,共8页
用高压透射电镜研究了Ti-54wt%Ni形状记忆合金B2相的选区电子衍射花样中的漫散射条纹。动态加热观察表明漫散射条纹与B2相的晶格的不稳定性有关。倾斜样品观察表明漫散射条纹来自穿过基本倒易阵点的倒易壁。对不同晶带轴的衍射花样中的... 用高压透射电镜研究了Ti-54wt%Ni形状记忆合金B2相的选区电子衍射花样中的漫散射条纹。动态加热观察表明漫散射条纹与B2相的晶格的不稳定性有关。倾斜样品观察表明漫散射条纹来自穿过基本倒易阵点的倒易壁。对不同晶带轴的衍射花样中的漫散射条纹的分析表明,花样中的漫散射条纹通常有三个方向,条纹互相交叉形成网络,每根条纹都由几段组成。提出漫散射条纹是由穿过基本倒易阵点且位于{112}倒易平面上的尺度有限的倒易壁形成的。用波矢位于{112}倒易平面上的横向声学声子波对电子的散射,讨论了倒易壁的形成和分布以及花样中的漫散射条纹的长度。 展开更多
关键词 漫散射条纹 电子衍射花样 钛镍合金
下载PDF
A Photolithography Process Design for 5 nm Logic Process Flow 被引量:2
11
作者 Qiang Wu Yanli Li +1 位作者 Yushu Yang Yuhang Zhao 《Journal of Microelectronic Manufacturing》 2019年第4期45-55,共11页
With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 n... With the introduction of EUV lithography,the photolithographic process in 5 nm logic process can be simplified to use mostly single exposure method.In a typical 5 nm logic process,the contact-poly pitch(CPP)is 44-50 nm,the minimum metal pitch(MPP)is around 30-32 nm.And the overlay budget is estimated to be 2.5 nm(on product overlay).Although the optical resolution of a 0.33NA exposure tool(such as ASML NXE3400)can reach below 32 nm pitch,stochastics in the EUV absorption in photoresists has limited its application to smaller pitches.For the CPP mentioned above,one can use 193 nm immersion lithography with Self-Aligned Double Patterning(SADP)technique to provide good image contrast(or CDU,LWR)as well as good overlay,as for the 10 and 7 nm generations.In the BEOL,however,the 30-32 pitch cannot be realized by a single EUV exposure with enough printing defect process window.If this pitch is to be done by 193 nm immersion lithography,more than 6-8 exposures are needed with very complicated overlay result.For EUV,this can be done through self-aligned LELE with both good CD and overlay control.We have done an optimization of the photolithographic process parameters for the typical metal with a self-developed aerial image simulator based on rigorous coupled wave analysis(RCWA)algorithm and the Abbe imaging routine with an EUV absorption model which describes stochastics.We have calibrated our model with wafer exposure data from several photoresists under collaboration with IMEC.As we have presented last year,to accommodate all pitches under a logic design rule,as well as to provide enough CDU for the logic device performance,in DUV lithography,a typical minimum exposure latitude(EL)for the poly and metal layers can be set at,respectively,18%and 13%.In EUV,due to the existence of stochastics,13%EL,which corresponds to an imaging contrast of 40%,seems not enough for the metal trenches,and to obtain an imaging contrast close to 100%,which yields an EL of 31.4%means that we need to relax minimum pitch to above 41 nm(conventional imaging limit for 0.33NA).This is the best imaging contrast a photolithographic process can provide to reduce LWR and stochastics.In EUV,due to the significantly smaller numerical apertures compared to DUV,the aberration impact can cause much more pronounced image registration error,in order to satisfy 2.5 nm total overlay,the aberration induced shift needs to be kept under 0.2 nm.We have also studied shadowing effect and mask 3D scattering effect and our results will be provided for discussion. 展开更多
关键词 5 nm Logic Process EUV sadp self-aligned LELE RCWA stochastics mask 3D scattering
下载PDF
Fe_2Al_5相的一种电子衍射斑点分析
12
作者 张金民 《理化检验(物理分册)》 CAS 2007年第8期407-408,共2页
利用透射电子显微镜(TEM)研究了热浸渗铝钢中Fe2Al5相。结果表明,Fe2Al5相的一种电子衍射花样特征与正六边形非常接近。但通过分析,其电子衍射花样并非严格的正六边形。其应属正交晶系。
关键词 热浸镀铝 Fe2Al5 电子衍射花样
下载PDF
Innovation on Line Cut Methods of Self-aligned Multiple Patterning
13
作者 Jeff Shu 《Journal of Microelectronic Manufacturing》 2019年第3期1-6,共6页
Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use.Theoretically any small size of pitch can be achieved by repeating SADP on same wafer... Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use.Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method.Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE).In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning. 展开更多
关键词 SELF-ALIGNED MULTIPLE PATTERNING SAMP SELF-ALIGNED double PATTERNING sadp selfaligned quadruple PATTERNING SAQP line CUT edge PLACEMENT error
下载PDF
碲铟汞晶体的透射电子显微分析
14
作者 王新鹏 孙晓燕 +3 位作者 介万奇 罗林 王涛 傅莉 《人工晶体学报》 EI CAS CSCD 北大核心 2010年第3期564-567,共4页
采用垂直布里奇曼法,生长出直径为30mm的Hg3In2Te6晶体。通过透射电子显微镜观察Hg3In2Te6晶体和第二相粒子的形貌,并利用选区电子衍射技术分析其物相。结果表明:晶锭基体的物相为Hg0.5In0.33Te;同时观察到了HgTe、In2Te3等第二相,尺寸... 采用垂直布里奇曼法,生长出直径为30mm的Hg3In2Te6晶体。通过透射电子显微镜观察Hg3In2Te6晶体和第二相粒子的形貌,并利用选区电子衍射技术分析其物相。结果表明:晶锭基体的物相为Hg0.5In0.33Te;同时观察到了HgTe、In2Te3等第二相,尺寸为10~40nm。推测晶体发生分解是形成第二相的原因。 展开更多
关键词 Hg3In2Te6 第二相 透射电子显微镜 选区电子衍射
下载PDF
VIPVS加速7 nm工艺模拟版图设计 被引量:1
15
作者 李璇 李媛 +5 位作者 祁景凤 冯磊 翟鲁坤 钱颖琪 张雯焘 邵婉新 《电子技术应用》 2018年第8期17-19,30,共4页
在格芯~?基于7 nm技术研发高速Serdes IP过程中,版图设计的复杂度日益增加。其中复杂DRC(Design Rule Check)验证和复杂MPT(Multi Patterning)方法为整个设计流程带来新的挑战。因此,一个能够应对这些挑战的版图设计流程非常重要,尤其是... 在格芯~?基于7 nm技术研发高速Serdes IP过程中,版图设计的复杂度日益增加。其中复杂DRC(Design Rule Check)验证和复杂MPT(Multi Patterning)方法为整个设计流程带来新的挑战。因此,一个能够应对这些挑战的版图设计流程非常重要,尤其是对EDA工具新功能的应用,例如:Cadence~? Virtuoso Interactive Physical Verification System(VIPVS~?)工具。VIPVS能够实现实时sign-off规格的DRC验证,缩短版图验证迭代过程,为多重图案上色提供高效的方法。介绍格芯高速Serdes版图团队如何使用VIPVS(主要讨论高效DRC验证和多重图案上色功能)进行基于格芯7 nm Finfet工艺的高速Serdes芯片版图设计。 展开更多
关键词 实时设计规则检查 对多重图案上色 自对准双重图案/自对准四重图案
下载PDF
爆炸焊接中调幅分解二次衍射分析
16
作者 张金民 《物理测试》 CAS 2009年第6期34-36,40,共4页
利用透射电子显微镜研究了321/Qd370qD爆炸焊接界面附近基板内的组织。结果表明,基板珠光体铁素体内部存在大量的调幅分解组织。调幅分解主要有波长为2 nm和4 nm的波。利用二次衍射分析了调幅分解的电子衍射花样,并且得出调幅分解波上... 利用透射电子显微镜研究了321/Qd370qD爆炸焊接界面附近基板内的组织。结果表明,基板珠光体铁素体内部存在大量的调幅分解组织。调幅分解主要有波长为2 nm和4 nm的波。利用二次衍射分析了调幅分解的电子衍射花样,并且得出调幅分解波上存在两种取向的纳米组织。 展开更多
关键词 爆炸焊接 调幅分解 纳米组织 二次衍射 电子衍射
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部