期刊文献+
共找到19篇文章
< 1 >
每页显示 20 50 100
SCV及其在SoC验证中的应用 被引量:2
1
作者 王锦程 李德识 曹阳 《武汉大学学报(工学版)》 CAS CSCD 北大核心 2004年第5期116-119,共4页
片上系统(System on Chip,SoC)是集成电路设计发展的趋势,验证在整个SoC设计中工作量巨大且至关重要.应用验证领域的最新成果SCV(SystemCVerification),提出了通过设计用户定义的事务接口(Transactor),对RTL(RegisterTransferLevel)级... 片上系统(System on Chip,SoC)是集成电路设计发展的趋势,验证在整个SoC设计中工作量巨大且至关重要.应用验证领域的最新成果SCV(SystemCVerification),提出了通过设计用户定义的事务接口(Transactor),对RTL(RegisterTransferLevel)级设计进行事务级验证的策略,并对一个具体的FIFO事例进行了验证. 展开更多
关键词 SOC scv systemc 事务级验证
下载PDF
数字专用集成电路设计中的SystemC建模验证方法 被引量:5
2
作者 罗琨 尹建华 +2 位作者 黄天锡 曹阳 赵磊 《武汉大学学报(理学版)》 CAS CSCD 北大核心 2002年第3期306-310,共5页
针对当前数字专用集成电路设计中的验证瓶颈,提出了一种基于SystemC电路行为建模与测试控制技术的专用集成电路验证方法,并应用到网络调度芯片的具体验证实验中.实验数据表明:由于采用软件建模与控制技术,该方法在缩短验证周期、提高验... 针对当前数字专用集成电路设计中的验证瓶颈,提出了一种基于SystemC电路行为建模与测试控制技术的专用集成电路验证方法,并应用到网络调度芯片的具体验证实验中.实验数据表明:由于采用软件建模与控制技术,该方法在缩短验证周期、提高验证可靠性、精确判断验证程度以及有效集成各类验证环境等方面均明显优于传统RTL验证方法. 展开更多
关键词 数字专用集成电路 设计 systemc建模 验证
下载PDF
集成ISS的SystemC内核的协同验证环境设计 被引量:3
3
作者 张洵颖 龚龙庆 《微电子学与计算机》 CSCD 北大核心 2006年第7期53-57,共5页
文章通过对Summit设计公司的VisualEliteESC中使用的多语言协同验证工具V-CPU的分析,介绍了传统的协同验证方法的构造思想。在此基础上,应用SystemC建模语言作为统一的硬软件建模工具,通过GDB调试工具,设计了集成ISS的SystemC内核的协... 文章通过对Summit设计公司的VisualEliteESC中使用的多语言协同验证工具V-CPU的分析,介绍了传统的协同验证方法的构造思想。在此基础上,应用SystemC建模语言作为统一的硬软件建模工具,通过GDB调试工具,设计了集成ISS的SystemC内核的协同验证环境的构造方法。 展开更多
关键词 协同验证 指令集仿真器 进程间通信 systemc GDB
下载PDF
基于SystemC描述的嵌入式系统的自动化验证 被引量:1
4
作者 陈燕 杜玄 彭澄廉 《同济大学学报(自然科学版)》 EI CAS CSCD 北大核心 2004年第8期1075-1079,共5页
基于SystemC的嵌入式系统描述 ,提出了一种全新的自动化验证方法 .该方法采用面向方面编程技术、分离和封装测试代码 ,结合自动化测试框架 ,完成对系统的自动化验证 .此验证方法对被测系统无扰动 ,能适应测试要求的灵活变化 ,对嵌入式... 基于SystemC的嵌入式系统描述 ,提出了一种全新的自动化验证方法 .该方法采用面向方面编程技术、分离和封装测试代码 ,结合自动化测试框架 ,完成对系统的自动化验证 .此验证方法对被测系统无扰动 ,能适应测试要求的灵活变化 ,对嵌入式系统模型可自动、重复地测试 ,能够满足系统级模型快速验证和评估的要求 . 展开更多
关键词 嵌入式系统 systemc设计语言 验证 面向方面编程 自动化
下载PDF
基于SCV的MIPS指令集指令随机生成工具
5
作者 尚利宏 田冰 《计算机应用》 CSCD 北大核心 2007年第8期1991-1993,共3页
介绍了在SystemC的系统级建模与验证环境中,使用SCV实现用于M IPS指令集指令随机生成的工具。该随机指令生成工具包括静态生成与动态生成两种工作模式。并针对随机指令生成中会遇到的数据访问越界、分支/跳转地址越界等问题提出了解决... 介绍了在SystemC的系统级建模与验证环境中,使用SCV实现用于M IPS指令集指令随机生成的工具。该随机指令生成工具包括静态生成与动态生成两种工作模式。并针对随机指令生成中会遇到的数据访问越界、分支/跳转地址越界等问题提出了解决办法。此外还研究了针对流水线冲突进行验证的方法。 展开更多
关键词 随机指令生成 验证 systemc scv MIPS
下载PDF
基于SystemC和ISS的软硬件协同验证方法
6
作者 杜旭 黄飞 黄建 《微计算机信息》 北大核心 2007年第32期147-149,165,共4页
随着SoC的出现和发展,软硬件协同验证已经成为当前的研究热点。本文对传统的基于ISS的软硬件协同验证方法进行改进,提出了一种基于SystemC和ISS的软硬件协同验证方法。该方法使用SystemC分别对系统进行事务级、寄存器传输级的建模,在系... 随着SoC的出现和发展,软硬件协同验证已经成为当前的研究热点。本文对传统的基于ISS的软硬件协同验证方法进行改进,提出了一种基于SystemC和ISS的软硬件协同验证方法。该方法使用SystemC分别对系统进行事务级、寄存器传输级的建模,在系统验证早期进行无时序的软硬件协同验证,后期进行时钟精确的软硬件协同验证,并对仿真速度进行了优化。同传统的基于ISS的软硬件协同验证方法相比,该方法保证了软硬件的并行开发,且仿真速度快、调试方便,是一种高效、高重用性的软硬件协同验证方法。 展开更多
关键词 软硬件协同验证 指令集仿真器 systemc 事务级建模 仿真加速
下载PDF
基于SCV的事务级验证建模 被引量:1
7
作者 方亮 戎蒙恬 +1 位作者 刘文江 毛军发 《计算机工程》 CAS CSCD 北大核心 2007年第15期238-240,共3页
结合SystemC验证库(SCV)的特点,提出了一种新的事务级验证模型,对其中部分主要模块进行了描述。该模型具有事务级交易记录、结果自检测以及随机测试等特性,通过该模型能够构建事务级验证平台。通过RAM实例,描述了验证平台的构建过程。
关键词 systemc验证库 systemc 事务级验证
下载PDF
使用SystemC进行基于事务的验证 被引量:3
8
作者 牛振兴 杜旭 《计算机应用》 CSCD 北大核心 2006年第3期708-710,共3页
分析了使用SystemC的基于事务的验证方法。它应用于一个具体项目的开发,并与传统的验证方法作了对比,证明它在验证效率和验证环境设计效率上均有明显优势。
关键词 基于事务的验证方法 systemc语言 验证环境
下载PDF
基于SystemC的GPU软硬件协同虚拟平台构建方法 被引量:3
9
作者 何嘉文 杜斐 +1 位作者 聂瞾 田泽 《计算机技术与发展》 2021年第8期129-133,共5页
当前芯片虚拟验证中,一般使用硬件描述语言(verilog语言或SystemVerilog语言)构建主机模型和验证平台,模拟主机行为,对待测设计施加激励。对于GPU芯片而言,由于芯片功能被拆分到软件驱动和硬件设计,且软件驱动功能极其复杂,导致利用硬... 当前芯片虚拟验证中,一般使用硬件描述语言(verilog语言或SystemVerilog语言)构建主机模型和验证平台,模拟主机行为,对待测设计施加激励。对于GPU芯片而言,由于芯片功能被拆分到软件驱动和硬件设计,且软件驱动功能极其复杂,导致利用硬件描述语言模拟软件驱动行为的主设备模型很困难,不仅开发时间较长,还难以确保主设备模型和软件驱动行为一致,且还需单独开发对软件驱动的验证平台。因此,该文提出了一种GPU芯片的软硬件协同验证平台构建方法,以SystemC语言作为桥梁,在虚拟验证平台中兼容软件语言开发的激励和驱动,以及使用硬件语言开发的待测设计,不仅能够加快GPU虚拟验证平台的开发进度,还能保证虚拟验证和芯片的测试时主设备模型行为的一致性,对当前GPU芯片验证工作很有帮助。 展开更多
关键词 虚拟验证平台 GPU芯片 软硬件协同 systemc 平台构建
下载PDF
基于SystemC的系统验证研究和应用 被引量:4
10
作者 李振 王伟 《微计算机信息》 北大核心 2008年第23期146-148,共3页
视频编解码芯片中运动估计与补偿单元(MECU)的算法复杂,使用传统硬件描述语言建立模型和模型验证的过程繁琐耗时,为了缩短芯片验证时间,本文针对MECU模块提出了基于SystemC语言的具体系统级验证流程。在整个芯片验证工作中,为了实现MEC... 视频编解码芯片中运动估计与补偿单元(MECU)的算法复杂,使用传统硬件描述语言建立模型和模型验证的过程繁琐耗时,为了缩短芯片验证时间,本文针对MECU模块提出了基于SystemC语言的具体系统级验证流程。在整个芯片验证工作中,为了实现MECU模块和低抽象级的其它外部模块协同验证,本文提出的验证流程利用了SystemC能在不同抽象级建模的优势,对MECU模块的数据传输控制端口进行细化。仿真结果表明:与使用传统件描述语言验证方法相比,基于SystemC的验证流程简单有效,大大缩短了建模与验证时间。 展开更多
关键词 运动估计与补偿单元 硬件描述语言 系统级验证
下载PDF
基于SystemC参考模型的UVM验证平台设计 被引量:2
11
作者 汪永峰 卜刚 《计算机技术与发展》 2021年第7期75-80,共6页
随着集成电路设计复杂度的不断提高,作为芯片开发周期中重要一环的芯片验证已经出现了逐渐乏力的趋势,传统的验证主要使用直接测试的方法,验证工程师们需要编写大量定向测试用例来满足验证的需求,这个过程既费时又费力,因此需要寻找新... 随着集成电路设计复杂度的不断提高,作为芯片开发周期中重要一环的芯片验证已经出现了逐渐乏力的趋势,传统的验证主要使用直接测试的方法,验证工程师们需要编写大量定向测试用例来满足验证的需求,这个过程既费时又费力,因此需要寻找新的验证方法来加快验证速度,提高验证效率。基于SystemC语言具有的强大的高层次建模能力以及UVM验证方法学具有的激励随机化、复用性高、以覆盖率为导向等诸多优势,结合SystemC语言和UVM验证方法学来搭建验证平台。使用基于SystemVerilog语言的UVM验证方法学搭建验证环境,并将SystemC语言编写的模型作为参考模型接进UVM验证平台,对超高频射频识别数字基带处理单元中读写器发送链路进行验证,统计覆盖率。结果表明,代码覆盖率和功能覆盖率均达到100%,满足了芯片验证要求,相比于传统验证方法有效地缩短了验证时间,提高了验证效率。 展开更多
关键词 芯片验证 UVM systemc UVM Connect 覆盖率
下载PDF
片上网络的SystemC建模研究 被引量:1
12
作者 朱晓龙 《电子设计工程》 2013年第14期1-3,8,共4页
为了实现软硬件协同设计和提高仿真速度的需求,采用SystemC语言的建模方法,通过对片上网络体系结构的研究,提出了一种片上网络的建模方案,并对一个mesh结构完成了SystemC的建模设计。该模型可在系统级和寄存器传输级上使用同一个测试平... 为了实现软硬件协同设计和提高仿真速度的需求,采用SystemC语言的建模方法,通过对片上网络体系结构的研究,提出了一种片上网络的建模方案,并对一个mesh结构完成了SystemC的建模设计。该模型可在系统级和寄存器传输级上使用同一个测试平台,且具有仿真速度快的特点,达到了设计要求。 展开更多
关键词 片上网络(NoC) systemc 建模 验证
下载PDF
一种基于SystemC的D触发器验证平台研究
13
作者 廖帆 邵世雷 张文亭 《中国新通信》 2010年第21期63-68,共6页
当今复杂电子系统更倾向于在更高抽象级进行建模一种基于C/C++的硬件描述语言,SystemC语言变得非常重要,在此介绍了SystemC语言的验证库,以及验证库的顶层设计,接口设计。文章在最后的阶段对D触发器进行了基于SystemC的验证平台搭建,进... 当今复杂电子系统更倾向于在更高抽象级进行建模一种基于C/C++的硬件描述语言,SystemC语言变得非常重要,在此介绍了SystemC语言的验证库,以及验证库的顶层设计,接口设计。文章在最后的阶段对D触发器进行了基于SystemC的验证平台搭建,进而展现了SystemC在验证上的优势。 展开更多
关键词 systemc 验证库 验证 D触发器
下载PDF
数字专用集成芯片前端验证方法研究 被引量:3
14
作者 罗琨 赵磊 +3 位作者 尹建华 杨怡伟 王琳 曹阳 《计算机工程与应用》 CSCD 北大核心 2002年第17期37-39,58,共4页
在分析当前数字专用集成芯片前端验证问题的基础上,提出了一种基于SystemC软件建模的专用集成芯片RTL级验证方法,并应用到对网络调度处理芯片的具体验证实验中。实验数据表明:由于采用软件模型和软件控制技术,该方案在缩短验证周期、提... 在分析当前数字专用集成芯片前端验证问题的基础上,提出了一种基于SystemC软件建模的专用集成芯片RTL级验证方法,并应用到对网络调度处理芯片的具体验证实验中。实验数据表明:由于采用软件模型和软件控制技术,该方案在缩短验证周期、提高验证可靠性、精确判定验证决策点以及有效集成各类验证环境等方面均明显优于传统RTL验证方案。 展开更多
关键词 数字专用集成芯片 前端验证方法 寄存器传输级 systemc模型 专用集成电路
下载PDF
动态系统描述语言的特性、设计和实现 被引量:1
15
作者 张幂 柴志雷 涂时亮 《小型微型计算机系统》 CSCD 北大核心 2010年第5期825-829,共5页
介绍一种新型的动态系统描述语言,PDSDL.它比SystemC更加高效和灵活.(1)PDSDL使用了Python面向对象动态语言,(2)PDSDL创新性地提出了动态系统的概念.系统的建模、验证和综合全都依赖于运行时动态系统特性,而非传统的存储在非易失记忆体... 介绍一种新型的动态系统描述语言,PDSDL.它比SystemC更加高效和灵活.(1)PDSDL使用了Python面向对象动态语言,(2)PDSDL创新性地提出了动态系统的概念.系统的建模、验证和综合全都依赖于运行时动态系统特性,而非传统的存储在非易失记忆体(例如文件)的静态描述.因此设计者能使用程序和高级人工智能方式来进行更高效的结构探索.本文的重点在于探讨动态系统描述语言的特性和实现机理.文末给出简要试验结果. 展开更多
关键词 PDSDL PYTHON 动态系统描述语言 systemc 模拟 验证 综合
下载PDF
基于Transaction模式的SoC软硬件协同验证策略 被引量:1
16
作者 魏小义 李平 +1 位作者 廖永波 贾宇明 《陕西理工学院学报(自然科学版)》 2006年第2期42-45,共4页
SoC的验证越来越成为集成电路发展的突出问题,而传统的信号级别的验证方法由于工作量巨大,已经很难满足系统的要求。研究了基于Transaction模式的验证方法,并通过开发的验证平台,用实验进行了验证。该方法在Transaction级别上实现对系... SoC的验证越来越成为集成电路发展的突出问题,而传统的信号级别的验证方法由于工作量巨大,已经很难满足系统的要求。研究了基于Transaction模式的验证方法,并通过开发的验证平台,用实验进行了验证。该方法在Transaction级别上实现对系统的验证,提高了验证速度,可以缩短设计周期,降低设计成本。 展开更多
关键词 Transaction模式 SOC systemc 验证
下载PDF
Towards functional verifying a family of SystemC TLMs 被引量:1
17
作者 Tun LI Jun YE Qingping TAN 《Frontiers of Computer Science》 SCIE EI CSCD 2020年第1期53-66,共14页
It is often the case that in the development of a system-on-a-chip(SoC)design,a family of SystemC transaction level models(TLM)is created.TLMs in the same family often share common functionalities but differ in their ... It is often the case that in the development of a system-on-a-chip(SoC)design,a family of SystemC transaction level models(TLM)is created.TLMs in the same family often share common functionalities but differ in their timing,implementation,configuration and performance in various SoC developing phases.In most cases,all the TLMs in a family must be verified for the follow-up design activities.In our previous work,we proposed to call such family TLM product line(TPL),and proposed feature-oriented(FO)design methodology for efficient TPL development.However,developers can only verify TLM in a family one by one,which causes large portion of duplicated verification overhead.Therefore,in our proposed methodology,functional verification of TPL has become a bottleneck.In this paper,we proposed a novel TPL verification method for FO designs.In our method,for the given property,we can exponentially reduce the number of TLMs to be verified by identifying mutefeature-modules(MFM),which will avoid duplicated veri-fication.The proposed method is presented in informal and formal way,and the correctness of it is proved.The theoretical analysis and experimental results on a real design show the correctness and efficiency of the proposed method. 展开更多
关键词 SYSTEM-ON-A-CHIP TRANSACTION level model systemc feature-oriented FUNCTIONAL verification
原文传递
数字信号处理器的模型验证
18
作者 王海荣 梁利平 《电子测量技术》 2009年第5期111-115,共5页
本文主要描述了对一款数字信号处理器的模型基于仿真验证的验证方法,对数字信号处理器的SystemC模型和RTL级的模型进行了验证。着重分析了如何在验证过程中提高覆盖率,提高验证的完备性。针对该处理器的结构特点,采用多种方式产生验证... 本文主要描述了对一款数字信号处理器的模型基于仿真验证的验证方法,对数字信号处理器的SystemC模型和RTL级的模型进行了验证。着重分析了如何在验证过程中提高覆盖率,提高验证的完备性。针对该处理器的结构特点,采用多种方式产生验证程序来验证模型的正确性,包括编写手工编写验证程序,替换验证,组合验证,高级语言转汇编的验证和伪随机验证,并对数字信号处理器的代码覆盖率进行了统计。验证后该数字信号处理器的模型能够与参考模型保持一致。 展开更多
关键词 验证 数字信号处理器 systemc
下载PDF
使用System C验证库进行随机验证
19
作者 王忠海 叶以正 《微处理机》 2005年第5期1-3,11,共4页
SystemC是一种适用于SoC顶层设计的新型硬件设计语言,SystemC验证库是SystemC标准库的一个增补库,用以增强SystemC在SoC顶层验证的能力,本文对SystemC及其验证库进行了简要介绍,重点说明了如何使用SystemC验证库进行随机测试。
关键词 SOC systemc 验证 随机测试
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部