期刊文献+
共找到110篇文章
< 1 2 6 >
每页显示 20 50 100
基于VHDL之CPU设计与实践 被引量:13
1
作者 徐爱萍 张玉萍 涂国庆 《实验室研究与探索》 CAS 北大核心 2014年第5期120-124,共5页
随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调... 随着大规模可编程逻辑器件的发展,EDA技术已成为硬件系统电路设计的重要手段。基于EDA技术的计算机组成原理整机实习通常在Quartus II仿真平台上实现。早期均采用Block Diagram的设计方式,虽然设计过程直观,但仿真调试困难,难以完全调试成功。本文研究了基于VHDL的灵活方便的CPU设计过程,该设计由取指、指令译码、指令执行、存储器接口、通用寄存器组和寄存器输出六个组成部分,最后通过调试软件直接观察寄存器的值来验证了设计的准确性。本研究方法对改革该课程的整机实习,发挥学生的主动能动性,提高学生的自主创造能力具有很好的指导意义和实际参考价值。 展开更多
关键词 计算机组成原理 CPU设计 整机实习 vhdl
下载PDF
IC设计中的VHDL语言应用研究 被引量:13
2
作者 冯江 王晓燕 +1 位作者 谢旭红 卢宏 《微计算机信息》 北大核心 2006年第01Z期249-251,共3页
对VHDL语言的优点进行了分析,并探讨了在IC设计开发过程中VHDL语言的应用技巧。
关键词 vhdl IC设计 硬件描述语言 PLD/FPGA 优化
下载PDF
VHDL语言中断言语句及其综合方法研究 被引量:3
3
作者 吴建国 刘明业 孙元 《计算机学报》 EI CSCD 北大核心 1998年第10期929-932,共4页
国内外学者普遍认为,硬件描述语言VHDL中断言语句是面向模拟的,其不能综合或不要综合,综合系统应忽略或不支持.本文给出了相反的论点:VHDL中断言语句应该综合,其中限制条件的补表达式可作为随意条件用于综合时化简.文中论述了综... 国内外学者普遍认为,硬件描述语言VHDL中断言语句是面向模拟的,其不能综合或不要综合,综合系统应忽略或不支持.本文给出了相反的论点:VHDL中断言语句应该综合,其中限制条件的补表达式可作为随意条件用于综合时化简.文中论述了综合断言语句的合理性、必要性和方便性,并给出了综合并行和串行断言语句的方法. 展开更多
关键词 硬件描述语言 断言语句 综合 vhdl语言
下载PDF
从Verilog到VHDL的翻译器VtoV的设计与实现 被引量:3
4
作者 蒋敬旗 刁岚松 刘明业 《北京理工大学学报》 EI CAS CSCD 北大核心 2001年第1期40-43,共4页
研究硬件描述语言 Verilog和 VHDL共有的语言特性 ,研制 SUN SPARC2工作站环境下的翻译系统 .在 SUN SPARC2工作站平台上使用 C++提取出了一组通用的硬件数据结构 ,可以进行代码重用 .在 SUN SPARC2工作站上设计和实现了一个从硬件描述... 研究硬件描述语言 Verilog和 VHDL共有的语言特性 ,研制 SUN SPARC2工作站环境下的翻译系统 .在 SUN SPARC2工作站平台上使用 C++提取出了一组通用的硬件数据结构 ,可以进行代码重用 .在 SUN SPARC2工作站上设计和实现了一个从硬件描述语言 Verilog到 VHDL的翻译器 Vto V.该翻译器能够实现从 Verilog的行为子集到VHDL的转换 . 展开更多
关键词 硬件描述语言 VERILOG vhdl 翻译器
下载PDF
VHDL和ISP逻辑器件在光栅数显装置中的应用 被引量:2
5
作者 金喜平 姬立红 庞杰 《沈阳工业大学学报》 EI CAS 2001年第1期39-42,共4页
随着在系统可编程技术的发展及日趋成熟,利用可编程逻辑器件( PLD)进行大规模电路的集成化设计成为可能 .对当今最流行的系统设计技术 VHDL和在系统可编程( ISP)逻辑器件作一简要介绍,并设计了一种高集成度的光栅数显装置,明显... 随着在系统可编程技术的发展及日趋成熟,利用可编程逻辑器件( PLD)进行大规模电路的集成化设计成为可能 .对当今最流行的系统设计技术 VHDL和在系统可编程( ISP)逻辑器件作一简要介绍,并设计了一种高集成度的光栅数显装置,明显地减少了所用 IC数量和印制版制作的复杂度,显著地提高了系统平均无故障工作时间和系统的可靠性 . 展开更多
关键词 专用集成电路 在系统编程 光栅数显装置 vhdl ISP 逻辑器件
下载PDF
VHDL语言在电子设计自动化中的应用 被引量:2
6
作者 张晓军 解大 陈陈 《电力自动化设备》 EI CSCD 北大核心 2002年第5期32-34,共3页
介绍了VHDL语言及其基本特点和VHDL强大的仿真工具Active VHDL ,并结合例子描述了VHDL语言在数字电路设计仿真调试阶段所起的重要作用 ,仿真通过之后需要进行综合才能完成设计工作。结合使用VHDL的仿真和综合工具进行电子设计自动化设... 介绍了VHDL语言及其基本特点和VHDL强大的仿真工具Active VHDL ,并结合例子描述了VHDL语言在数字电路设计仿真调试阶段所起的重要作用 ,仿真通过之后需要进行综合才能完成设计工作。结合使用VHDL的仿真和综合工具进行电子设计自动化设计的实际芯片取得了令人满意的结果。 展开更多
关键词 vhdl语言 电子设计自动化 仿真 硬件描述语言 数字电路
下载PDF
基于VHDL的正交编码脉冲电路解码计数器设计 被引量:2
7
作者 胡天亮 李鹏 +1 位作者 张承瑞 左毅 《山东大学学报(工学版)》 CAS 2008年第3期10-13,57,共5页
针对正交编码脉冲电路脉冲(quadrature encoder pulse,QEP)的解码和计数的问题,给出了QEP解码计数器的解决方案.本方案在复杂可编程逻辑器件(complex programmablelogic device,CPLD)中使用VHDL(VHSIChardware descriptionlanguage)实... 针对正交编码脉冲电路脉冲(quadrature encoder pulse,QEP)的解码和计数的问题,给出了QEP解码计数器的解决方案.本方案在复杂可编程逻辑器件(complex programmablelogic device,CPLD)中使用VHDL(VHSIChardware descriptionlanguage)实现语言硬件编程.整个解码计数器设计分为脉冲边沿检测器,计数脉冲和计数方向发生器,上下行计数器三部分,成功的解决了由传感器抖动引起频繁换向时准确计数的问题.该解决方案使用Altera公司的Quartus Ⅱ软件进行设计并进行了仿真分析,最后给出了基于此技术的机床数显表的应用实例来说明此技术的可行性和柔性. 展开更多
关键词 正交编码脉冲 解码 vhdl硬件语言编程 复杂可编程逻辑器件
下载PDF
VHDL数字系统设计实验教学研究 被引量:6
8
作者 廖荣 李蓓 +1 位作者 张振杰 李宇威 《实验室研究与探索》 CAS 北大核心 2017年第12期227-229,289,共4页
VHDL数字系统设计实验课程已建成立体化教学资源,自主开发了EDA实验平台,建成含课堂视频、实验指导视频、电子教案、网上信息发布、师生互动等的课程网站;还精心设计了实验内容,安排了由浅入深的基本模块电路设计、简单综合设计、接近... VHDL数字系统设计实验课程已建成立体化教学资源,自主开发了EDA实验平台,建成含课堂视频、实验指导视频、电子教案、网上信息发布、师生互动等的课程网站;还精心设计了实验内容,安排了由浅入深的基本模块电路设计、简单综合设计、接近工程实际的复杂设计3个层次实验;改革实验教学方法,提供全方位的实验教学指导;加强学生实验过程管理,开发并使用了现场电脑打分系统;完善有效的实验考核方案。结果表明:该一系列措施在实验教学体系建设方面取得了较好成绩,使实践课达到了教学直观和知识系统化的目的,学生普遍反映受益匪浅。 展开更多
关键词 超高速集成电路硬件描述语言 数字系统设计 现场可编程门阵列 实验教学 系统化
下载PDF
VHDL-C++翻译器设计与实现 被引量:2
9
作者 吴清平 刘明业 《软件学报》 EI CSCD 北大核心 2002年第11期2201-2207,共7页
VHDL(VHSIC(very high speed integrated circuit) hardware description language)是描述数字系统的硬件描述语言,C++是编写顺序语句程序的高级编程语言.VHDL编译型模拟器需要采用具有顺序特征的C++语句表征具有并发特征的VHDL电路设计... VHDL(VHSIC(very high speed integrated circuit) hardware description language)是描述数字系统的硬件描述语言,C++是编写顺序语句程序的高级编程语言.VHDL编译型模拟器需要采用具有顺序特征的C++语句表征具有并发特征的VHDL电路设计.提出了一种面向对象的VHDL-C++翻译方法,充分利用了这两种语言的面向对象的特征,采用C++类来描述VHDL的实体、结构体及进程等元素,并通过一个C++模拟调度核心完成了用顺序语句描述并发电路的工作.通过此方法可将VHDL源描述转化为功能等价的C++代码,并在模拟调度核心的调度下,使用顺序语句模拟出数字系统并发功能,完成编译型模拟器的构造,实现VHDL的高速模拟.用这种翻译方法翻译出来的C++代码具有结构清晰、可扩充性强的特点,与模拟核心形成的编译型模拟器的模拟速度相比,解释型模拟器速度有较大提高.该方法已在模拟系统中得以成功应用.最后给出了部分试验结果,进一步说明了算法的效率和优点. 展开更多
关键词 翻译器 设计 vhdl语言 C++语言 面向对象
下载PDF
基于硬件描述语言(VHDL)的数字时钟设计 被引量:5
10
作者 刘君 常明 +2 位作者 秦娟 张晟 耿璐 《天津理工大学学报》 2007年第4期40-42,共3页
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法... VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率. 展开更多
关键词 硬件描述语言 vhdl 数字电路设计
下载PDF
维特比译码器的VHDL模块化实现 被引量:2
11
作者 陈坚 汪东旭 《上海交通大学学报》 EI CAS CSCD 北大核心 1999年第9期1075-1079,共5页
在维特比译码器的软判决最大似然算法的基础上,提出一种新的基于坐标变换的找点算法,它只需求8点欧氏距,使问题大大简化,同时可获得4 dB的编码增益.随后串行地实现了加比选模块,并用组合电路结构化实现了移位输出.根据... 在维特比译码器的软判决最大似然算法的基础上,提出一种新的基于坐标变换的找点算法,它只需求8点欧氏距,使问题大大简化,同时可获得4 dB的编码增益.随后串行地实现了加比选模块,并用组合电路结构化实现了移位输出.根据CCITTV.32 bit/s用硬件描述语言(VHDL)合理地实现了整个译码器,得到了更快的速度和更小的电路规模. 展开更多
关键词 维特比译码器 欧氏距 硬件描述语言 vhdl
下载PDF
基于VHDL语言的扩频相关器设计与实现 被引量:2
12
作者 张玲玲 张怡 唐成凯 《航空计算技术》 2008年第5期103-106,111,共5页
介绍了扩频相关器在信号处理中对特定码字进行相关处理,完成信号的解扩或解码,恢复出传送的信息。扩频相关器采用数字处理方式,处理信号的形式比较灵活,可以对不同的码型、不同的码长进行相关处理,为位同步的提取带来了极大的方便。设... 介绍了扩频相关器在信号处理中对特定码字进行相关处理,完成信号的解扩或解码,恢复出传送的信息。扩频相关器采用数字处理方式,处理信号的形式比较灵活,可以对不同的码型、不同的码长进行相关处理,为位同步的提取带来了极大的方便。设计了包含相关器的整个直扩系统,并进行了仿真,验证了所设计的相关器的正确性。 展开更多
关键词 vhdl 相关器 伪随机序列
下载PDF
两种硬件描述语言VHDL/Verilog的发展及其应用 被引量:12
13
作者 罗杰 康华光 《电气电子教学学报》 2002年第4期1-5,共5页
首先简要介绍了两种 HDL( Hardware Description L anguage)的发展和内容 ,叙述了 HDL 语言的主要特点 ,然后就 HDL 的设计流程作较详细的讨论 ,附有 HDL的设计举例。
关键词 硬件描述语言 数字逻辑设计 电子设计自动化 vhdl VERILOGHDL EDA
下载PDF
VHDL设计电路优化探讨 被引量:3
14
作者 邵清亮 张振川 《现代电子技术》 2004年第9期15-16,共2页
CPL D/ F PGA设计越来越复杂 ,使用硬件描述语言设计可编程逻辑电路已经被广泛采用。在应用 V HDL语言开发的过程中注意综合质量优化也显得日益重要。文中对应用 VH DL 时优化其综合质量给出了几点探讨。
关键词 硬件描述语言 综合质量 优化 vhdl
下载PDF
利用VHDL语言实现直接数字频率合成 被引量:3
15
作者 周润景 杜玉 《内蒙古大学学报(自然科学版)》 CAS CSCD 北大核心 2004年第4期428-431,共4页
介绍了DDS技术的组成原理及特点,利用VHDL语言在Altera公司的FLEX10K系列器件上实现了DDS系统,通过MAX+plusII和Matlab演示了仿真结果.
关键词 直接数字频率合成(DDS) 现场可编程逻辑器件(FPGA) 硬件描述语言(vhdl)
下载PDF
基于数据采集的VHDL带通滤波 被引量:1
16
作者 黄蕾 刘百玉 +1 位作者 白永林 欧阳娴 《计算机仿真》 CSCD 2007年第9期312-315,共4页
针对纯硬件实现RC带通滤波具有很大的局限性,而HDL(硬件描述语言)具有高层次的自上而下的设计方法,为系统硬件设计提供了更大的灵活性,具有更高的通用性,能有效地缩短设计周期,减少生产成本[1]。文中简要介绍了一种VHDL实现通带为0.1s-0... 针对纯硬件实现RC带通滤波具有很大的局限性,而HDL(硬件描述语言)具有高层次的自上而下的设计方法,为系统硬件设计提供了更大的灵活性,具有更高的通用性,能有效地缩短设计周期,减少生产成本[1]。文中简要介绍了一种VHDL实现通带为0.1s-0.4s的时域带通滤波器,该滤波器主要用于预处理数据位流,该数据位流是由同步数据传输延时补偿网络采集到的。为便于利用计算机进行1.5μs在线时序仿真,文中给出了通带为0.1μs-0.4μs的时域等效带通滤波时钟的VHDL设计实例,并利用时序矢量仿真波形初步分析了抖动容限[2],从而证实了该实例的正确与合理性。 展开更多
关键词 数据采集 超高速集成电路硬件描述语言 带通滤波 抖动容限
下载PDF
VHDL编译器的设计与研究 被引量:1
17
作者 牛之贤 段富 +1 位作者 程明琦 唐洪玉 《太原理工大学学报》 CAS 2002年第1期29-31,共3页
简要介绍了 VHDL语言的发展 ,详细讨论了 VHDL语言编译器的设计 ;对预处理、词法分析、文法处理、语法分析、语义分析、出错处理 6个模块进行了介绍 ,同时设计了源描述编译的中间数据格式。
关键词 vhdl 硬件描述语言 中间数据格式 编译器 设计
下载PDF
适应调试功能的VHDL模型及模拟算法 被引量:2
18
作者 边计年 卢峰 郭芳 《计算机学报》 EI CSCD 北大核心 1997年第11期996-1002,共7页
本文提出一个适应调试功能的VHDL模型及VHDL模拟算法──VSIM.它与可视化VHDL原理图输入工具VDES和高级图形调试器VDBX结合在一起,为设计者检查、修改自己的设计提供了极大的便利.该模拟器采用层次式结构行... 本文提出一个适应调试功能的VHDL模型及VHDL模拟算法──VSIM.它与可视化VHDL原理图输入工具VDES和高级图形调试器VDBX结合在一起,为设计者检查、修改自己的设计提供了极大的便利.该模拟器采用层次式结构行为混合模型,保存VHDL描述的所有信息和结构,以利于实现调试功能.模拟算法采用基于进程的事件驱动算法及层次式模块调用算法,并提供模拟时间、语句行、模块(包括元件、进程和子程序)、信号/变量、条件等各种中断类型并能继续模拟. 展开更多
关键词 硬件描述语言 vhdl语言 vhdl模拟 算法
下载PDF
用VHDL语言进行电路设计及其优化方法 被引量:3
19
作者 阮象华 龚建荣 《电子工程师》 2000年第12期12-14,共3页
阐述了VHDL语言的构成、特点以及它的优化设计方法,并给出了一个优化设计实例。
关键词 电路设计 硬件描述语言 vhdl 优化设计
下载PDF
基于硬件逻辑语言的时钟模块设计研究
20
作者 黄思凝 唐端端 王颖 《流体测量与控制》 2024年第3期40-43,共4页
随着信息化与数字化的不断推进,嵌入式系统已经广泛应用于生活的各个方面。硬件描述语言被称为快速集成电路程序硬件描述语言,是一种专门用于描述和模拟数字电路系统性能的硬件描述语言。在20世纪90年代,VHDL设计技术的出现彻底改变了... 随着信息化与数字化的不断推进,嵌入式系统已经广泛应用于生活的各个方面。硬件描述语言被称为快速集成电路程序硬件描述语言,是一种专门用于描述和模拟数字电路系统性能的硬件描述语言。在20世纪90年代,VHDL设计技术的出现彻底改变了数字系统的设计方式,带来了革命性的变革。VHDL的使用范围广泛,可应用于各种高速集成电路设计。 展开更多
关键词 硬件描述语言 vhdl QuartusⅡ
下载PDF
上一页 1 2 6 下一页 到第
使用帮助 返回顶部