期刊文献+
共找到11篇文章
< 1 >
每页显示 20 50 100
The analytical model for crosstalk noise of current-mode signaling in coupled RLC interconnects of VLSI circuits
1
作者 Peng Xu Zhongliang Pan 《Journal of Semiconductors》 EI CAS CSCD 2017年第9期74-81,共8页
With the continuous advancement of semiconductor technology, the interconnects crosstalk has had a great influence on the performances of VLSI circuits. To date, most of the research about the interconnects of VLSI ci... With the continuous advancement of semiconductor technology, the interconnects crosstalk has had a great influence on the performances of VLSI circuits. To date, most of the research about the interconnects of VLSI circuits focus on the voltage-mode signaling (VMS) scheme while the current-mode signaling (CMS) scheme is rarely analyzed. First of all, an equivalent circuit model of two-line coupled interconnects is presented in this paper, which is applicable to both the CMS and VMS schemes. The coupling capacitive and mutual inductive are taken into account in the equivalent circuit model. Secondly, the output noise of CMS and VMS schemes are investigated in the paper according to the decoupling technique and A B CD parameter matrix approach at local level, intermediate level and global level, respectively. Moreover, the experimental results show that the CMS interconnects have lesser noise peak, noise width and noise amplitude than the VMS interconnects in the same cases, and the CMS scheme is especially suitable for the global interconnects communication of VLSI circuits. It is found that the results obtained by A B CD parameter matrix approach are in good accordance with the simulation results of the advanced design system. 展开更多
关键词 vlsi circuits interconnects crosstalk noise current-mode signaling decoupling technique A B CD parameter matrix
原文传递
A Multiple-Valued Algebra for Modeling MOS VLSI Circuits at Switch-Level
2
作者 胡谋 《Journal of Computer Science & Technology》 SCIE EI CSCD 1992年第2期175-184,共10页
A multiple-valued algebra for modeling MOS VLSI circuits at switch-level is proposed in this paper. Its structure and properties are studied.This algebra can be used to transform a MOS digital circuit to a switch-leve... A multiple-valued algebra for modeling MOS VLSI circuits at switch-level is proposed in this paper. Its structure and properties are studied.This algebra can be used to transform a MOS digital circuit to a switch-level algebraic expression so as to generate the truth table for the circuit and to derive a Boolean expression for it.In the paper,methods to construct a switch-level algebraic expression for a circuit and methods to simplify expressions are given.This algebra provides a new tool for MOS VLSI circuit design and analysis. 展开更多
关键词 MOS vlsi A Multiple-Valued Algebra for Modeling MOS vlsi circuits at Switch-Level
原文传递
SOME COMBINATORIAL OPTIMIZATION PROBLEMS ARISING FROM VLSI CIRCUIT DESIGN 被引量:2
3
作者 刘彦佩 《Applied Mathematics(A Journal of Chinese Universities)》 SCIE CSCD 1993年第2期218-235,共18页
This paper is basically a survey to show a number of combinatorial optimization problems arising from VLSI circuit design. Some of them including the existence problem, minimax problem, net representation, bend minimi... This paper is basically a survey to show a number of combinatorial optimization problems arising from VLSI circuit design. Some of them including the existence problem, minimax problem, net representation, bend minimization, area minimization, placement problem, routing problem, etc. are especially discussed with new results and theoretical ideas for treating them. Finally, a number of problems for further research are mentioned. 展开更多
关键词 vlsi Circuit Design Rectilinear Embedding Rectilinear Convexity Forbidden Configuration Combinatorial Optimization.
下载PDF
Modified Multi-Resolution Telescopic Search Algorithm for Block-Matching Motion Estimation
4
作者 Zhang, W. Zhou, R. Kondo, T. 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2001年第3期90-96,共7页
This paper presents a modified multi-resolution telescopic search algorithm (MRTlcSA) for the block-matching motion estimation. A novel inverse telescopic search is substituted for the conventional telescopic search, ... This paper presents a modified multi-resolution telescopic search algorithm (MRTlcSA) for the block-matching motion estimation. A novel inverse telescopic search is substituted for the conventional telescopic search, that reduces the on-chip memory size and memory bandwidth for VLSI implementation. In addition, strategies of motion track and adaptive search window are applied to reduce the computational complexity of motion estimation. Simulation results show that, compared with the MRTleSA, the proposed algorithm reduces the computational load to only 30% while preserving almost the same image quality. Comparisons on hardware cost and power consumption of the VLSI implementations using the two algorithms are also presented in the paper. 展开更多
关键词 ALGORITHMS BANDWIDTH Computational complexity Computer simulation Image quality Microprocessor chips Optical resolving power Optical telescopes Pattern matching vlsi circuits
下载PDF
Random testing for system-level functional verification of system-on-chip 被引量:4
5
作者 Ma Qinsheng Cao Yang +1 位作者 Yang Jun Wang Min 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2009年第6期1378-1383,共6页
In order to deal with the limitations during the register transfer level verification, a new functional verification method based on the random testing for the system-level of system-on-chip is proposed.The validity o... In order to deal with the limitations during the register transfer level verification, a new functional verification method based on the random testing for the system-level of system-on-chip is proposed.The validity of this method is proven theoretically.Specifically, testcases are generated according to many approaches of randomization.Moreover, the testbench for the system-level verification according to the proposed method is designed by using advanced modeling language.Therefore, under the circumstances that the testbench generates testcases quickly, the hardware/software co-simulation and co-verification can be implemented and the hardware/software partitioning planning can be evaluated easily.The comparison method is put to use in the evaluation approach of the testing validity.The evaluation result indicates that the efficiency of the partition testing is better than that of the random testing only when one or more subdomains are covered over with the area of errors, although the efficiency of the random testing is generally better than that of the partition testing.The experimental result indicates that this method has a good performance in the functional coverage and the cost of testing and can discover the functional errors as soon as possible. 展开更多
关键词 vlsi circuit VERIFICATION random process FUNCTION TESTING SYSTEM-ON-CHIP system-level.
下载PDF
Vertically Coupled Microring Resonator Filter :Versatile Building Block for VLSI Filter Circuits
6
作者 Yasuo Kokubun 《光学学报》 EI CAS CSCD 北大核心 2003年第S1期187-188,共2页
In this review, the recent progress in the development of vertically coupled micro-ring resonator filters is summarized and the potential applications of the filters leading to the development of VLSI photonics are de... In this review, the recent progress in the development of vertically coupled micro-ring resonator filters is summarized and the potential applications of the filters leading to the development of VLSI photonics are described. 展开更多
关键词 FSR BE of in AS for Versatile Building Block for vlsi Filter circuits Vertically Coupled Microring Resonator Filter
原文传递
Test Vector Optimization Using Pocofan-Poframe Partitionin
7
作者 P.PattunnaRajam Reeba korah G.Maria Kalavathy 《Computers, Materials & Continua》 SCIE EI 2018年第3期251-268,共18页
This paper presents an automated POCOFAN-POFRAME algorithm thatpartitions large combinational digital VLSI circuits for pseudo exhaustive testing. In thispaper, a simulation framework and partitioning technique are pr... This paper presents an automated POCOFAN-POFRAME algorithm thatpartitions large combinational digital VLSI circuits for pseudo exhaustive testing. In thispaper, a simulation framework and partitioning technique are presented to guide VLSIcircuits to work under with fewer test vectors in order to reduce testing time and todevelop VLSI circuit designs. This framework utilizes two methods of partitioningPrimary Output Cone Fanout Partitioning (POCOFAN) and POFRAME partitioning todetermine number of test vectors in the circuit. The key role of partitioning is to identifyreconvergent fanout branch pairs and the optimal value of primary input node N andfanout F partitioning using I-PIFAN algorithm. The number of reconvergent fanout andits locations are critical for testing of VLSI circuits and design for testability. Hence, theirselection is crucial in order to optimize system performance and reliability. In the presentwork, the design constraints of the partitioned circuit considered for optimizationincludes critical path delay and test time. POCOFAN-POFRAME algorithm uses theparameters with optimal values of circuits maximum primary input cone size (N) andminimum fan-out value (F) to determine the number of test vectors, number of partitionsand its locations. The ISCAS’85 benchmark circuits have been successfully partitioned,the test results of C499 shows 45% reduction in the test vectors and the experimentalresults are compared with other partitioning methods, our algorithm makes fewer testvectors. 展开更多
关键词 Pseudo exhaustive testing POCOFAN (Primary Output Cone FanoutPartitioning) POFRAME partitioning combinational digital vlsi circuit testing criticalpath delay testing time design for testability
下载PDF
RF-TSV DESIGN, MODELING AND APPLICATION FOR 3D MULTI-CORE COMPUTER SYSTEMS
8
作者 Yu Le Yang Haigang Xie Yuanlu 《Journal of Electronics(China)》 2012年第5期431-444,共14页
The state-of-the-art multi-core computer systems are based on Very Large Scale three Dimensional (3D) Integrated circuits (VLSI). In order to provide high-speed vertical data transmission in such 3D systems, efficient... The state-of-the-art multi-core computer systems are based on Very Large Scale three Dimensional (3D) Integrated circuits (VLSI). In order to provide high-speed vertical data transmission in such 3D systems, efficient Through-Silicon Via (TSV) technology is critically important. In this paper, various Radio Frequency (RF) TSV designs and models are proposed. Specifically, the Cu-plug TSV with surrounding ground TSVs is used as the baseline structure. For further improvement, the dielectric coaxial and novel air-gap coaxial TSVs are introduced. Using the empirical parameters of these coaxial TSVs, the simulation results are obtained demonstrating that these coaxial RF-TSVs can provide two-order higher of cut-off frequencies than the Cu-plug TSVs. Based on these new RF-TSV technologies, we propose a novel 3D multi-core computer system as well as new architectures for manipulating the interfaces between RF and baseband circuit. Taking into consideration the scaling down of IC manufacture technologies, predictions for the performance of future generations of circuits are made. With simulation results indicating energy per bit and area per bit being reduced by 7% and 11% respectively, we can conclude that the proposed method is a worthwhile guideline for the design of future multi-core computer ICs. 展开更多
关键词 Three Dimensional (3D) Very Large Scale Integrated circuits (vlsi) Ratio Frequency (RF) Through-Silicon Vias (TSVs) Multi-core computer technology
下载PDF
A minimum-order boundary element method to extract the 3-D inductance and resistance of the interconnects in VLSI 被引量:1
9
作者 方蜀州 王泽毅 《Science in China(Series F)》 2002年第6期453-461,共9页
The high frequency resistance and inductance of the 3-D complex interconnect structures can be calculated by solving an eddy current electromagnetic problem. In this paper, a model for charactering such a 3-D eddy cur... The high frequency resistance and inductance of the 3-D complex interconnect structures can be calculated by solving an eddy current electromagnetic problem. In this paper, a model for charactering such a 3-D eddy current problem is proposed, in which the electromagnetic fields in both the conducting and non-conducting regions are described in terms of the magnetic vector potential, and a set of the indirect boundary integral equations (IBIE) is obtained. The IBIEs can be solved by boundary element method, so this method avoids discretizing the domain of the conductors. As an indirect boundary element method, it is of minimum order. It does not restrict the direction of the current in conductors, and hence it can consider the mutual impedance between two perpendicular conductors. The numerical results can well meet the analytical solution of a 2-D problem. The mutual impedance of two perpendicular conductors is also shown under the different gaps between conductors and different frequencies. 展开更多
关键词 vlsi circuits interconnects parasitic inductance and resistance indirect boundary integral equations.
原文传递
Effects of Dummy Thermal Vias on Interconnect Delay and Power Dissipation of Very Large Scale Integration Circuits
10
作者 XU Peng PAN Zhongliang 《Wuhan University Journal of Natural Sciences》 CAS CSCD 2018年第5期438-446,共9页
The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The t... The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The thermal vias are regarded as a promising method to improve the temperature performance of VLSI circuits. In this paper, the extra thermal vias were used to decrease the delay and power dissipation of interconnect wires of VLSI circuits. Two analytical models were presented for interconnect temperature, delay and power dissipation with adding extra dummy thermal vias. The influence of the number of thermal vias on the delay and power dissipation of interconnect wires was analyzed and the optimal via separation distance was investigated. The experimental results show that the adding extra dummy thermal vias can reduce the interconnect average temperature, maximum temperature, delay and power dissipation. Moreover, this method is also suitable for clock signal wires with a large root mean square current. 展开更多
关键词 very large scale integration vlsi circuits interconnect temperature interconnect delay thermal vias interconnect power dissipation
原文传递
Repairing VLSI/WSI Redundant Memories with Minimum Cost
11
作者 黄维康 F.Lombardi 《Journal of Computer Science & Technology》 SCIE EI CSCD 1990年第2期187-196,共10页
A new approach to repair memory chips with redundancy is proposed.This approach is based on the minimization of the repair cost.Algorithms for cost driven repair are presented.The algorithms can be ex- ecuted either o... A new approach to repair memory chips with redundancy is proposed.This approach is based on the minimization of the repair cost.Algorithms for cost driven repair are presented.The algorithms can be ex- ecuted either on-line(concurrently with the testing of the memory),or off-line(at completion of testing). Analytical expressions for the repair cost under both circumstances are given.The presented algorithms are also perfect in the sense that they can correctly diagnose a repairable/unrepairable memory and find the optimal repair-solution. 展开更多
关键词 Computer Simulation Data Storage Semiconductor Integrated circuits vlsi Integrated circuits WSI REDUNDANCY
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部