期刊文献+
共找到1,678篇文章
< 1 2 84 >
每页显示 20 50 100
基于二叉树的Verilog多路分支语句综合算法
1
作者 廖俊鸿 刘森 +1 位作者 马铖昱 储著飞 《宁波大学学报(理工版)》 CAS 2024年第2期10-17,共8页
Verilog多路分支语句是硬件描述语言的一种条件语句,在处理器、网络交换和数字信号处理等领域应用广泛,且可通过数据选择器(Multiplexer,MUX)实现资源的极低消耗.现有基于And-Inverter Graph结构的综合工具ABC无法有效综合此类电路.因此... Verilog多路分支语句是硬件描述语言的一种条件语句,在处理器、网络交换和数字信号处理等领域应用广泛,且可通过数据选择器(Multiplexer,MUX)实现资源的极低消耗.现有基于And-Inverter Graph结构的综合工具ABC无法有效综合此类电路.因此,提出了一种新型逻辑网络表达形式MAIG(MUX-And-Inverter Graph),针对Verilog多路分支语句中的显式电路给出了基于二叉树的综合算法.为提高算法的运行效率以及综合质量,首先提取电路特征参数并进行矩阵列变换,进而实现MUX门的个数和层级减少;然后根据矩阵的0、1取值,通过二叉树优化算法划分矩阵递归生成面积小、时延低的MAIG.与学术界综合工具ABC相比,所提算法在工艺映射前电路逻辑门的个数和深度平均优化72%和52%,工艺映射后电路面积和时延平均改善67%和33%. 展开更多
关键词 verilog多路分支语句 数据选择器 二叉树 MAIG
下载PDF
芯片设计方法学的基础——硬件描述语言Verilog——国家标准GB/T18349-2001《集成电路/计算机硬件描述语言Verilog》介绍 被引量:4
2
作者 蒋敬旗 刘明业 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2002年第11期1091-1095,共5页
全面且系统地介绍硬件描述语言 Verilog标准的基本内容、组成及其修订要点 ;同时介绍了《硬件描述语言Verilog(第 4版 )》.
关键词 芯片设计方法学 硬件描述语言 国家标准 GB/T18349-2001 《集成电路/计算机硬件描述语言verilog verilog语言 集成电路 CAD
下载PDF
Verilog RTL模型 被引量:5
3
作者 沈理 《同济大学学报(自然科学版)》 EI CAS CSCD 北大核心 2002年第10期1194-1198,共5页
VLSI集成电路芯片测试技术正在向高层次测试推进 .针对Verilog硬件描述语言 ,提出了一种在寄存器传输级 (registertransferlevel,RTL)上的电路模型VRM .该模型着重于实际应用 ,可输出文本格式文件 ,便于开发实用的RTL级故障模拟和RTL级... VLSI集成电路芯片测试技术正在向高层次测试推进 .针对Verilog硬件描述语言 ,提出了一种在寄存器传输级 (registertransferlevel,RTL)上的电路模型VRM .该模型着重于实际应用 ,可输出文本格式文件 ,便于开发实用的RTL级故障模拟和RTL级测试生成等软件 .基于该模型 ,还实现了一个简单的RTL逻辑模拟程序以验证VRM模型的可行性 . 展开更多
关键词 verilogRTL模型 verilog硬件描述语言 寄存器传输级模型 逻辑模拟 高层次测试 集成电路芯片 芯片测试
下载PDF
System Verilog简介 被引量:2
4
作者 夏宇闻 《中国集成电路》 2006年第2期40-50,共11页
美国电气和电子工程师协会(IEEE)最近(2005年11月9日)批准了Syst emVeri l og硬件描述语言的新标准。新标准是为了适应日益复杂的系统芯片(SoC)设计在原Veri l og-2001的基础上扩展的。按新标准开发的EDA工具必将大幅度地提高SoC的设计... 美国电气和电子工程师协会(IEEE)最近(2005年11月9日)批准了Syst emVeri l og硬件描述语言的新标准。新标准是为了适应日益复杂的系统芯片(SoC)设计在原Veri l og-2001的基础上扩展的。按新标准开发的EDA工具必将大幅度地提高SoC的设计和验证效率。本文对新标准的扩展做了简要的介绍,希望引起国内IC设计界对这种功能强大语言的重视。 展开更多
关键词 SYSTEMverilog verilog硬件描述语言 简介 IC设计 EDA工具 系统芯片 标准 工程师 SOC 大幅度
下载PDF
基于Verilog的有限状态机设计与优化 被引量:23
5
作者 孔昕 吴武臣 +1 位作者 侯立刚 周毅 《微电子学与计算机》 CSCD 北大核心 2010年第2期180-183,共4页
研究了不同的状态机编码(二进制、格雷码、独热码)和不同的状态机描述方式(one always,two always,three always)的优点和缺点,并分析了他们对有限状态机性能的影响.分别使用XilinxISE和Design Compiler对一个实例进行了综合,分析了其... 研究了不同的状态机编码(二进制、格雷码、独热码)和不同的状态机描述方式(one always,two always,three always)的优点和缺点,并分析了他们对有限状态机性能的影响.分别使用XilinxISE和Design Compiler对一个实例进行了综合,分析了其面积、速度和功耗的信息.结果表明,one always的写法需要被摒弃;two always的编码风格适合Moore型状态机;而three always的编码风格适合Mealy型状态机.同时也给出了适合不同设计的最优状态编码. 展开更多
关键词 verilog 有限状态机 编码风格 优化
下载PDF
基于Verilog HDL的有限状态机设计与描述 被引量:27
6
作者 刘小平 何云斌 董怀国 《计算机工程与设计》 CSCD 北大核心 2008年第4期958-960,共3页
有限状态机(FSM)是逻辑设计的重要内容,稍大一点的逻辑设计都存在FSM。介绍了采用Verilog HDL实现有限状态机的几种不同编码方式和描述风格,并从稳定性、可读性、速度和面积等方面比较了不同实现方式的利弊。最后,以简单序列检测器为例... 有限状态机(FSM)是逻辑设计的重要内容,稍大一点的逻辑设计都存在FSM。介绍了采用Verilog HDL实现有限状态机的几种不同编码方式和描述风格,并从稳定性、可读性、速度和面积等方面比较了不同实现方式的利弊。最后,以简单序列检测器为例实现了可综合的FSM描述,并分析了其采用不同描述风格所得的综合结果。 展开更多
关键词 有限状态机 verilog硬件描述语言 状态编码 独热码 综合
下载PDF
ASIC设计中基于Verilog语言的inout(双向)端口程序设计 被引量:6
7
作者 王天盛 李斌桥 +3 位作者 赵毅强 李树荣 裴志军 姚素英 《计算机工程与应用》 CSCD 北大核心 2003年第34期129-132,183,共5页
论文详细介绍了基于Verilog硬件描述语言的inout(双向)端口设计方法,提出了一种与实际情况吻合的仿真方法,并通过CMOS图像传感器控制电路设计中一个可综合的设计实例,指出了设计和仿真中应注意的问题。
关键词 ASIC verilog HDL inout 双向端口 仿真
下载PDF
基于VerilogHDL的分频器的优化设计 被引量:8
8
作者 张奇惠 武超 +2 位作者 王二萍 蒋俊华 张伟风 《河南大学学报(自然科学版)》 CAS 北大核心 2007年第4期343-346,共4页
基于整数和小数分频的实现原理,提出了整数和小数分频器的算法和结构,采用Verilog硬件描述语言优化设计了偶数、非50%占空比和50%占空比的奇数、半整数分频器,重点对任意小数分频器进行了设计优化.用LDV5.1进行了仿真,用Synplify Pro进... 基于整数和小数分频的实现原理,提出了整数和小数分频器的算法和结构,采用Verilog硬件描述语言优化设计了偶数、非50%占空比和50%占空比的奇数、半整数分频器,重点对任意小数分频器进行了设计优化.用LDV5.1进行了仿真,用Synplify Pro进行了基于ALTERA公司FPGA的综合,证明了其可行性. 展开更多
关键词 分频器 verilog HDL 优化 FPGA
下载PDF
基于Matlab的并行循环冗余校验Verilog代码自动生成方法 被引量:6
9
作者 薛俊 段发阶 +3 位作者 蒋佳佳 李彦超 袁建富 王宪全 《计算机应用》 CSCD 北大核心 2016年第9期2503-2507,2554,共6页
在水声信号探测数据的传输过程中,现场可编程门阵列(FPGA)通过传统串行方法对长数据帧进行循环冗余校验(CRC)时无法达到速度要求,而更快速的并行校验方法存在因编程复杂带来的实际工程应用困难问题。为了满足传输对校验速度的需求,降低... 在水声信号探测数据的传输过程中,现场可编程门阵列(FPGA)通过传统串行方法对长数据帧进行循环冗余校验(CRC)时无法达到速度要求,而更快速的并行校验方法存在因编程复杂带来的实际工程应用困难问题。为了满足传输对校验速度的需求,降低编程难度和缩短编程时间,设计了一种借助Matlab对任意长度数据帧自动编写并行CRC程序语句的方法。该计算方法基于矩阵法数学原理,借助Matlab完成所有数学推导计算过程,然后直接输出符合Verilog HDL语法规则的并行CRC校验程序语句。通过在QuartusⅡ9.0中仿真,进一步在民用拖曳声呐阵列系统上进行数据传输实验,验证了Matlab自动编程方法的有效性:校验程序的自动编写输出能在几十秒内完成,同时生成的并行CRC校验程序能在满足数据传输速度要求的情况下正确地计算出系统中传输协议定义的长数据帧的校验码。 展开更多
关键词 循环冗余校验 并行计算 MATLAB verilog硬件描述语言 现场可编程门阵列
下载PDF
Verilog HDL语言的AES密码算法FPGA优化实现 被引量:5
10
作者 李浪 邹祎 +1 位作者 李仁发 李肯立 《重庆大学学报(自然科学版)》 EI CAS CSCD 北大核心 2014年第6期56-64,共9页
AES密码算法是目前广泛使用的一种加密算法。为了对AES算法进行优化,通过对密钥扩展模块重复调用,实现代码的高效利用。具体方法为在AES算法进行加解密运算时,其中所需的密钥可在其他模块执行时重复调用,即一次生成十轮密钥,通过控制模... AES密码算法是目前广泛使用的一种加密算法。为了对AES算法进行优化,通过对密钥扩展模块重复调用,实现代码的高效利用。具体方法为在AES算法进行加解密运算时,其中所需的密钥可在其他模块执行时重复调用,即一次生成十轮密钥,通过控制模块实现轮密钥加运算。详细叙述了改进后AES算法的Verilog HDL硬件语言实现,特别是对具体实现过程中关键核心代码进行了清晰描述,经modelsim6.1f仿真验证正确后进行了FPGA硬件实现,对FPGA硬件实现进行了实验结果正确性验证。实验结果表明,优化后的AES算法在Xilinx Virtex-V FPGA上仅占用了3 531个Slice,5 522个LUT,与同类加密算法实现所需的资源数对比,在性能同等条件下占用面积更少,可满足芯片的较小面积应用需求,从而可以使得AES算法应用于目前流行的各种小面积智能卡上。 展开更多
关键词 AES算法 verilog HDL FPGA实现
下载PDF
基于Verilog-A的模拟电路行为模型及仿真 被引量:7
11
作者 朱樟明 张春朋 +1 位作者 杨银堂 付永朝 《电子器件》 CAS 2003年第4期396-399,共4页
分析了模拟硬件描述语言Verilog-A的特点及模型结构,根据仿真速度和仿真精度的折衷考虑,设计实现了模拟开关、带隙基准电压源及运放的Verilog-A行为模型。根据数模转换器(DAC)的特性,基于Verilog-A设计了DAC参数测试模型,也建立8位DAC... 分析了模拟硬件描述语言Verilog-A的特点及模型结构,根据仿真速度和仿真精度的折衷考虑,设计实现了模拟开关、带隙基准电压源及运放的Verilog-A行为模型。根据数模转换器(DAC)的特性,基于Verilog-A设计了DAC参数测试模型,也建立8位DAC的行为模型。所有行为模型都在CadenceSpectre仿真器中实现了仿真验证。 展开更多
关键词 verilog—A 行为 模型 仿真
下载PDF
基于Verilog HDL的DDR2 SDRAM控制器设计 被引量:4
12
作者 周亮 王娟 +2 位作者 胡畅华 杨明武 高挺挺 《合肥工业大学学报(自然科学版)》 CAS CSCD 北大核心 2010年第8期1253-1256,共4页
文章对适用DDR2 SDRAM控制器的结构、接口和时序进行了深入研究与分析,总结出一些控制器的关键技术特性,然后采用了自顶向下(TOP-DOWN)的设计方法,用Verilog硬件描述语言实现控制器,随后在Modelsi m6.1上通过软件功能仿真,用Synopsys公... 文章对适用DDR2 SDRAM控制器的结构、接口和时序进行了深入研究与分析,总结出一些控制器的关键技术特性,然后采用了自顶向下(TOP-DOWN)的设计方法,用Verilog硬件描述语言实现控制器,随后在Modelsi m6.1上通过软件功能仿真,用Synopsys公司的DC进行综合,通过Altera公司的FPGA进行硬件验证,结果表明控制器能完全胜任对DDR2 SDRAM的控制。 展开更多
关键词 DDR2 SDRAM 控制器 verilog HDL FPGA
下载PDF
基于Verilog的曼彻斯特Ⅱ型码解码器设计 被引量:6
13
作者 吴昊 周越文 +1 位作者 毛东辉 翟颖烨 《计算机测量与控制》 CSCD 北大核心 2012年第7期1989-1991,共3页
为研制1553B总线转换卡,给出了一种基于硬件描述的曼彻斯特Ⅱ型码解码器设计方案;该方案先利用Verilog语言描述少量逻辑单元(LE),构成逻辑处理模块,继而搭建出解码器;针对干扰问题,提出了边缘检测法识别同步头并寻找时钟基准和多数判定... 为研制1553B总线转换卡,给出了一种基于硬件描述的曼彻斯特Ⅱ型码解码器设计方案;该方案先利用Verilog语言描述少量逻辑单元(LE),构成逻辑处理模块,继而搭建出解码器;针对干扰问题,提出了边缘检测法识别同步头并寻找时钟基准和多数判定法解码曼彻斯特Ⅱ型码两种方法,并对不同模块之间同步等问题进行了研究;经过在EDA开发环境中进行时序仿真,结果显示设计满足需求,正确识别了状态/命令同步头,且曼彻斯特Ⅱ型码解码正确无误。 展开更多
关键词 verilog语言 曼彻斯特Ⅱ型码 解码器
下载PDF
基于System Verilog的可重用验证平台 被引量:7
14
作者 山蕊 蒋林 李涛 《电子技术应用》 北大核心 2013年第5期128-131,共4页
采用System Verilog语言设计了一种具有层次化结构的可重用验证平台,该平台能够产生各种随机、定向、错误测试向量,并提供功能覆盖率计算。将验证平台在Synopsys公司的VCS仿真工具上运行,并应用到包交换芯片的仿真验证中。仿真结果显示... 采用System Verilog语言设计了一种具有层次化结构的可重用验证平台,该平台能够产生各种随机、定向、错误测试向量,并提供功能覆盖率计算。将验证平台在Synopsys公司的VCS仿真工具上运行,并应用到包交换芯片的仿真验证中。仿真结果显示,新设计的验证平台能通过修改随机信号约束条件和产生随机信号的权重值,使芯片的功能覆盖率达到100%。 展开更多
关键词 SYSTEM verilog 验证 层次化 可重用
下载PDF
基于Verilog HDL的SPWM全数字算法的FPGA实现 被引量:3
15
作者 丁电宽 梁建均 +1 位作者 王文奇 杨荣杰 《电子技术应用》 北大核心 2009年第3期58-61,65,共5页
在详细阐述正弦脉宽调制算法的基础上,结合DDS技术,以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM... 在详细阐述正弦脉宽调制算法的基础上,结合DDS技术,以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。通过逻辑分析仪和数字存储示波器得到了验证,为该技术进一步应用和推广提供了一个良好的开放平台。 展开更多
关键词 Actel FPGA SPWM DDS verilog HDL
下载PDF
Verilog操作语义研究 被引量:4
16
作者 李勇坚 何积丰 孙永强 《软件学报》 EI CSCD 北大核心 2002年第10期2021-2030,共10页
提出了一个结构化操作语义模型,用于描述Verilog核心子集的语言特征,此子集包含了事件驱动、基于共享变量的并发特性、时间延迟等Verilog的主要语言成分.在此操作语义模型中,所有的Verilog程序将被统一地认为是开放式系统,所以在此操作... 提出了一个结构化操作语义模型,用于描述Verilog核心子集的语言特征,此子集包含了事件驱动、基于共享变量的并发特性、时间延迟等Verilog的主要语言成分.在此操作语义模型中,所有的Verilog程序将被统一地认为是开放式系统,所以在此操作语义模型的基础上能够进一步提出Verilog开放进程的观察模型,并提出基于互模拟的观察等价概念来判定进程之间的等价关系.最后证明了所定义的观察等价关系对所有的Verilog构造子而言是一个同余关系,从而为发展相应的进程代数理论提供了一个可靠性基础. 展开更多
关键词 verilog语言 操作语义 事件调度 观察模型 互模拟 同余性
下载PDF
有限状态机的Verilog设计与研究 被引量:16
17
作者 俞莉琼 付宇卓 《微电子学与计算机》 CSCD 北大核心 2004年第11期146-148,157,共4页
本文研究了用Verilog实现有限状态机的各种不同的编码方式和描述风格,并从综合、毛刺、面积、速度这几方面研究了不同实现方式的利弊。最后,以SoC芯片中DMAArbitor有限状态机为例,我们用DesignComplier(DC)对七种设计进行了综合,并分析... 本文研究了用Verilog实现有限状态机的各种不同的编码方式和描述风格,并从综合、毛刺、面积、速度这几方面研究了不同实现方式的利弊。最后,以SoC芯片中DMAArbitor有限状态机为例,我们用DesignComplier(DC)对七种设计进行了综合,并分析了综合后的面积和时延信息。 展开更多
关键词 verilog 有限状态机 综合 状态编码
下载PDF
SPI串行总线接口的Verilog实现 被引量:22
18
作者 孙丰军 余春暄 《现代电子技术》 2005年第16期105-106,109,共3页
集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(SerialPeripheralBus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介... 集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(SerialPeripheralBus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介绍了其4条信号线,SPI串行总线接口的典型应用。重点描述了SPI串行总线接口在一款802.11b芯片中的位置,及该接口作为基带和射频的通讯接口所完成的功能,并给出了用硬件描述语言VerilogHDL实现该接口的部分程序。该实现已经在Modelsim中完成了仿真,并经过了FPGA验证,最后给出了仿真和验证的结果。 展开更多
关键词 SPI接口 串行 verilog HDL FPGA 集成电路 模块化设计
下载PDF
一种增强Verilog建模能力的编译预处理器 被引量:3
19
作者 徐晨 蒋华 袁红林 《计算机工程与应用》 CSCD 北大核心 2006年第17期111-113,122,共4页
文章讨论了一种增强Verilog硬件描述语言建模能力的编译预处理器的设计问题。VerilogHDL是专用集成电路设计中应用广泛的一种硬件描述语言,它尚存在一些缺陷。编译预处理器的功能是增强数字系统设计中对模块输入输出端口阵列等参数化设... 文章讨论了一种增强Verilog硬件描述语言建模能力的编译预处理器的设计问题。VerilogHDL是专用集成电路设计中应用广泛的一种硬件描述语言,它尚存在一些缺陷。编译预处理器的功能是增强数字系统设计中对模块输入输出端口阵列等参数化设计的能力。在分析IEEEVerilog1364—2001建模特性的基础上,基于LEX和YACC设计出专门的编译预处理器,显著降低了程序规模,可以方便地嵌入其他仿真或综合工具中,增强了VerilogHDL参数化的建模特性。 展开更多
关键词 编译预处理器 参数化设计 verilog LEX YACC
下载PDF
基于Verilog HDL的异步FIFO设计与实现 被引量:9
20
作者 魏芳 刘志军 马克杰 《电子技术应用》 北大核心 2006年第7期97-99,106,共4页
异步FIFO是一种不同时钟域之间传递数据的常用方法。本文提出一种新颖的异步FIFO设计方案。此方案避免了使用大量的同步寄存器,减小了芯片面积并且提高了工作频率。DC综合的结果表明,用此方法设计的FIFO性能有了显著提高。
关键词 多时钟域 亚稳态 异步 FIFO verilog HDL
下载PDF
上一页 1 2 84 下一页 到第
使用帮助 返回顶部