期刊文献+
共找到186篇文章
< 1 2 10 >
每页显示 20 50 100
一种双三次插值实时超分辨率VLSI设计
1
作者 张思言 杜周南 +2 位作者 任一心 邓涛 唐曦 《西南大学学报(自然科学版)》 CAS CSCD 北大核心 2024年第4期202-212,共11页
视频超分辨率技术具有广阔的应用前景,但基于深度学习方法的算法复杂度过高,难以实现实时计算.因此,近年来研究者们开始探索基于现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)的超分辨率算法加速器,以利用FPGA的优势来提... 视频超分辨率技术具有广阔的应用前景,但基于深度学习方法的算法复杂度过高,难以实现实时计算.因此,近年来研究者们开始探索基于现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)的超分辨率算法加速器,以利用FPGA的优势来提高算法的性能和能耗,实现实时的视频超分辨率.设计了一种基于FPGA的高效高速双三次线性插值超大规模集成电路(Very Large Scale Integration Circuit,VLSI)架构,可用于4倍实时视频超分辨率.该FPGA架构解决了实现双三次插值过程中所需的复杂内存访问模式的问题,并提出了一种基于乒乓操作的数据重排硬件设计,将算法输出的特定顺序数据重新以行为主进行排列,使得硬件能够直接或较为简单地对接HDMI等视频接口.此外,采用状态机、流水线等方式降低设计功耗和减少时序违例,使得整个硬件设计可以更高频率运行.本研究在Zynq-7020 FPGA上实现了硬件架构,能够实时将qHD(960×540)的视频超采样为UHD(3840×2160)高清视频.实验结果表明,该硬件设计只需缓存1行图像像素,延迟仅为9.6μs,帧率达到192.9 Hz,成功实现实时处理.游戏图像数据集的测试结果表明,该设计峰值信噪比最高可达35.67 dB,结构相似度达到96.3%. 展开更多
关键词 双三次插值 实时超分辨率 现场可编程逻辑门阵列 超大规模集成电路
下载PDF
A reconfigurable low-cost memory-efficient VLSI architecture for video scaling 被引量:1
2
作者 汪彦刚 Peng Silong 《High Technology Letters》 EI CAS 2013年第2期137-144,共8页
A runtime reconfigurable very-large-scale integration(VLSI) architecture for image and video scaling by arbitrary factors with good antialiasing performance is presented in this paper.Video scaling is used in a wide r... A runtime reconfigurable very-large-scale integration(VLSI) architecture for image and video scaling by arbitrary factors with good antialiasing performance is presented in this paper.Video scaling is used in a wide range of applications from broadcast,medical imaging and high-resolution video effects to video surveillance,and video conferencing.Many algorithms have been proposed for these applications,such as piecewise polynomial kernels and windowed sine kernels.The sum of three shifted versions of a B-spline function,whose weights can be adjusted for different applications,is adopted as the main filter.The proposed algorithm is confirmed to be effective on image scaling applications and also verified by many widely acknowledged image quality measures.The reconfigurable hardware architecture constitutes an arbitrary scaler with low resource consumption and high performance targeted for field programmable gate array(FPGA) devices.The scaling factor can be changed on-the-fly,and the filter can also be changed during runtime within a unifying framework. 展开更多
关键词 图像缩放 视频效果 vlsi 硬件架构 可重构 低成本 现场可编程门阵列 内存
下载PDF
Reduced bit low power VLSI architectures for motion estimation
3
作者 Shahrukh Agha Shahid Khan +1 位作者 Shahzad Malik Raja Riaz 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2013年第3期382-399,共18页
Low power and real time very large scale integration (VLSI) architectures of motion estimation (ME) algorithms for mobile devices and applications are presented. The power reduction is achieved by devising a novel... Low power and real time very large scale integration (VLSI) architectures of motion estimation (ME) algorithms for mobile devices and applications are presented. The power reduction is achieved by devising a novel correction recovery mechanism based on algorithms which allow the use of reduced bit sum of absolute difference (RBSAD) metric for calculating matching error and conversion to full resolution sum of absolute difference (SAD) metric whenever necessary. Parallel and pipelined architectures for high throughput of full search ME corresponding to both the full resolution SAD and the generalized RBSAD algorithm are synthe- sized using Xilinx Synthesis Tools (XST), where the ME designs based on reduced bit (RB) algorithms demonstrate the reduction in power consumption up to 45% and/or the reduction in area up to 38%. 展开更多
关键词 motion estimation (ME) very large scale integration (vlsi reduced bit sum of absolute difference (RBSAD).
下载PDF
A Parallel-based Lifting Algorithm and VLSI Architecture for DWT
4
作者 Xiong Chengyi Tian Jinwen +1 位作者 Liu Jian Gao Zhirong 《Journal of Electronics(China)》 2006年第2期244-248,共5页
A novel Parallel-Based Lifting Algorithm (PBLA) for Discrete Wavelet Transform (DWT), exploiting the parallelism of arithmetic operations in all lifting steps, is proposed in this paper. It leads to reduce the critica... A novel Parallel-Based Lifting Algorithm (PBLA) for Discrete Wavelet Transform (DWT), exploiting the parallelism of arithmetic operations in all lifting steps, is proposed in this paper. It leads to reduce the critical path latency of computation, and to reduce the complexity of hardware implementation as well. The detailed derivation on the proposed algorithm, as well as the resulting Very Large Scale Integration (VLSI) architecture, is introduced, taking the 9/7 DWT as an example but without loss of generality. In comparison with the Conventional Lifting Algorithm Based Implementation (CLABI), the critical path latency of the proposed architecture is reduced by more than half from (4Tm + 87a)to Tm + 4Ta, and is competitive to that of Convolution-Based Implementation (CBI), but the new implementation will save significantly in hardware. The experimental results demonstrate that the proposed architecture has good performance in both increasing working frequency and reducing area. 展开更多
关键词 离散小波变换 DFT 提升算法 大规模集成电路 临界路径
下载PDF
Study on the System Design of Multiple Expert Systems Integrated Decision Support System
5
作者 Wang, Zongjun 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 1993年第1期74-81,共8页
There has been an increasing interest in integrating decision support systems (DSS) and expert systems (ES) to provide decision makers a more accessible, productive and domain-independent information and computing env... There has been an increasing interest in integrating decision support systems (DSS) and expert systems (ES) to provide decision makers a more accessible, productive and domain-independent information and computing environment. This paper is aimed at designing a multiple expert systems integrated decision support system (MESIDSS) to enhance decision makers' ability in more complex cases. The basic framework, management system of multiple ESs, and functions of MESIDSS are presented. The applications of MESIDSS in large-scale decision making processes are discussed from the following aspects of problem decomposing, dynamic combination of multiple ESs, link of multiple bases and decision coordinating. Finally, a summary and some ideas for the future are presented. 展开更多
关键词 Computational methods Computer architecture Database systems Expert systems Information management Knowledge based systems large scale systems Logic design Systems analysis User interfaces
下载PDF
VLSI design of 3D display processing chip for binocular stereo displays
6
作者 Ge Chenyang Zheng Nanning 《High Technology Letters》 EI CAS 2010年第3期288-292,共5页
关键词 vlsi设计 立体显示 核心芯片 三维显示 现场可编程门阵列 头盔显示器 超大规模集成 流水线结构
下载PDF
Architectural Design of 32 Bit Polar Encoder
7
作者 G. Indumathi V. P. M. B. Aarthi Alias Ananthakirupa M. Ramesh 《Circuits and Systems》 2016年第5期551-561,共11页
The rapid development in the digital circuit design enhances the applications on very large scale integration era. Encoders are one among the digital circuits found in all communication systems. The polar encoding is ... The rapid development in the digital circuit design enhances the applications on very large scale integration era. Encoders are one among the digital circuits found in all communication systems. The polar encoding is mainly meant for its channel achieving property. It finds its application in communications, sensing and information theory. This coding proposed by Erdal Arikan is significant because of its zero error floors and simple architecture for hardware implementation. In this paper, a folded polar encoder is designed to start from the fully parallel architecture and proceeds with its data flow graph, delay requirement calculation, lifetime analysis and register allocation, which results in a very large scale integration architecture with minimum hardware utilization. The results are simulated for 4 and 8 parallel folded 32-bit polar encoder using Xilinx 14.6 ISIM and implemented in Virtex 5 field programmable gate array. A comparison is made on fully parallel and various folding techniques based on their resource utilization. 展开更多
关键词 Polar Encoder FOLDING Very large scale integration (vlsi) architecture Field Programmable Gate Array (FPGA)
下载PDF
大规模分布式系统的架构设计与应用性能分析
8
作者 徐海霞 《信息与电脑》 2024年第4期32-34,共3页
文章详细阐述了分布式系统基础架构、微服务与容器化技术的应用,通过电子商务平台案例深入分析了实际应用场景,并提出了一系列性能优化策略。性能分析结果表明,提出的优化策略有效提升了系统性能与可靠性。
关键词 大规模分布式系统 架构设计 性能分析
下载PDF
Effects of Dummy Thermal Vias on Interconnect Delay and Power Dissipation of Very Large Scale Integration Circuits
9
作者 XU Peng PAN Zhongliang 《Wuhan University Journal of Natural Sciences》 CAS CSCD 2018年第5期438-446,共9页
The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The t... The interconnect temperature of very large scale integration(VLSI) circuits keeps rising due to self-heating and substrate temperature, which can increase the delay and power dissipation of interconnect wires. The thermal vias are regarded as a promising method to improve the temperature performance of VLSI circuits. In this paper, the extra thermal vias were used to decrease the delay and power dissipation of interconnect wires of VLSI circuits. Two analytical models were presented for interconnect temperature, delay and power dissipation with adding extra dummy thermal vias. The influence of the number of thermal vias on the delay and power dissipation of interconnect wires was analyzed and the optimal via separation distance was investigated. The experimental results show that the adding extra dummy thermal vias can reduce the interconnect average temperature, maximum temperature, delay and power dissipation. Moreover, this method is also suitable for clock signal wires with a large root mean square current. 展开更多
关键词 very large scale integration (vlsi circuits interconnect temperature interconnect delay thermal vias interconnect power dissipation
原文传递
一种改进的低成本自适应双三次插值算法及VLSI实现 被引量:17
10
作者 庞志勇 谭洪舟 陈弟虎 《自动化学报》 EI CSCD 北大核心 2013年第4期407-417,共11页
提出了一种新型图像缩放算法,由自适应锐化滤波器和双三次插值组成.锐化滤波器减轻了双三次插值产生的模糊效应,自适应技术进一步提升了图像缩放质量.为了减少运算量,提出前置滤波和后置滤波技术.与其他几种算法相比较,本文的算法在主... 提出了一种新型图像缩放算法,由自适应锐化滤波器和双三次插值组成.锐化滤波器减轻了双三次插值产生的模糊效应,自适应技术进一步提升了图像缩放质量.为了减少运算量,提出前置滤波和后置滤波技术.与其他几种算法相比较,本文的算法在主观和客观评价方面都明显胜出.为了实现实时低成本设计,提出了一种该算法的流水线超大规模集成电路(Very large scale integration,VLSI)架构.在现场可编程逻辑器件(Field-programmable gate array,FPGA)上实现,占用695个逻辑单元(Logic elements,LEs),时钟频率达到165MHz,减少了36.8%逻辑单元,图像质量平均峰值信噪比(Peak signal-to-noise ratio,PSNR)提升了1.5dB. 展开更多
关键词 双三次插值 图像缩放 拉普拉斯变换 自适应 超大规模集成电路 现场可编程逻辑器件
下载PDF
HEVC帧内预测Planar和DC模式的VLSI架构设计与实现 被引量:3
11
作者 周巍 黄晓东 +2 位作者 朱洪翔 郭龙 张仁鹏 《计算机工程与应用》 CSCD 北大核心 2015年第8期160-164,共5页
在研究新一代高性能视频编码标准(HEVC)帧内预测中planar和DC模式预测算法的基础上,分别设计了高效VLSI架构,通过状态机的自适应控制和模块的复用来实现速度的提高和面积的减少。针对planar模式,设计了一种基于状态机自适应控制的寄存... 在研究新一代高性能视频编码标准(HEVC)帧内预测中planar和DC模式预测算法的基础上,分别设计了高效VLSI架构,通过状态机的自适应控制和模块的复用来实现速度的提高和面积的减少。针对planar模式,设计了一种基于状态机自适应控制的寄存器累加架构;针对DC模式,设计了一种基于算法的分割处理架构。实验结果表明,所设计的架构在TSMC180 nm的工艺下最高频率为350 MHz,面积合计为68.1 kgate,能够实现对4∶2∶0格式7 680×4 320@30 f/s视频序列的实时编码,最高工作频率可以达到23.4 MHz。 展开更多
关键词 高性能视频编码标准(HEVC) 帧内预测 planar模式 DC模式 超大规模集成电路(vlsi)架构设计
下载PDF
MPEG-2运动补偿的VLSI设计 被引量:4
12
作者 惠新标 郑志航 叶楠 《上海交通大学学报》 EI CAS CSCD 北大核心 2000年第7期903-906,共4页
基于 MPEG-2解码中运动补偿的控制复杂、数据吞吐量大、实现较困难 ,提出了一种适合于 MPEG-2 MP@ML的运动补偿硬件实现方案 ,解决了时序分配、输入输出控制等较难处理的问题 .文中的方案已经采用 VHDL描述 ,并使用电子设计自动化 ( EDA... 基于 MPEG-2解码中运动补偿的控制复杂、数据吞吐量大、实现较困难 ,提出了一种适合于 MPEG-2 MP@ML的运动补偿硬件实现方案 ,解决了时序分配、输入输出控制等较难处理的问题 .文中的方案已经采用 VHDL描述 ,并使用电子设计自动化 ( EDA)工具进行了模拟和验证 .结果表明 ,方案满足 MPEG-2解码的要求 ,可用于 MPEG-2的 展开更多
关键词 运动补偿 MPEG-2 设计 运动图像压缩 vlsi
下载PDF
基于3DES的跳频序列族构造方法的VLSI实现 被引量:1
13
作者 李赞 蔡觉平 +1 位作者 金力军 常义林 《西安电子科技大学学报》 EI CAS CSCD 北大核心 2004年第4期501-504,580,共5页
基于3DES的迭代型分组密码产生的跳频序列具有好的安全性、随机性、均匀性及频率间隔特性等性能指标,利用VHDL语言有限状态机的设计方法,自顶而下进行系统的模块划分,通过状态机的逐层嵌套和模块的相互调用,完成了基于3DES的跳频序列族... 基于3DES的迭代型分组密码产生的跳频序列具有好的安全性、随机性、均匀性及频率间隔特性等性能指标,利用VHDL语言有限状态机的设计方法,自顶而下进行系统的模块划分,通过状态机的逐层嵌套和模块的相互调用,完成了基于3DES的跳频序列族构造方法的VLSI实现.测试结果表明,使用ALTERAFLEX10K20开发的跳频加密芯片在1 5MHz~24MHz的时钟范围内,均能满足2000跳/秒的高速跳频要求,并且具有运算速度快、占用资源少、输入方式灵活等特点,开发出的芯片已应用于高速跳频通信系统中. 展开更多
关键词 分组密码 跳频序列 VHDL(VHSIC Hardware Description Language) vlsi(Very large scale integrated circuits)
下载PDF
MPEG-2视频反量化和IDCT的VLSI设计 被引量:6
14
作者 惠新标 叶楠 郑志航 《上海交通大学学报》 EI CAS CSCD 北大核心 2000年第2期193-196,共4页
反量化(IQ)和反离散余弦变换(IDCT)是MPEG-2 中恢复帧内编码系数或差分系数的重要手段.设计了用于MPEG-2 MP@ ML视频解码超大规模集成电路(VLSI)的IQ 和IDCT电路结构,采用VHDL进行描述并... 反量化(IQ)和反离散余弦变换(IDCT)是MPEG-2 中恢复帧内编码系数或差分系数的重要手段.设计了用于MPEG-2 MP@ ML视频解码超大规模集成电路(VLSI)的IQ 和IDCT电路结构,采用VHDL进行描述并通过模拟得以验证.采用全硬件实现的方法,并针对性地提出了相应的硬件电路结构设计,减少了电路规模以适应MPEG-2MP@ ML视频较大的数据量,达到了实时解码的目的. 展开更多
关键词 MPEG-2 反量化 视频信号 vlsi IDCT 设计
下载PDF
H.264标准中Exp-Golomb编解码器的VLSI设计 被引量:3
15
作者 吴斌 郭树旭 +2 位作者 王明江 郑凡 陈玫玫 《吉林大学学报(信息科学版)》 CAS 2008年第3期244-247,共4页
为提高Exp-Golomb码的编解码效率,提出了一种基于快速"首位1检测"的Exp-Golomb编解码器硬件实现方法,降低了计算量并节省了硬件资源。该Exp-Golomb编解码器已通过RTL(Register Transfer Level)级仿真和综合,并在FPGA(Field Pr... 为提高Exp-Golomb码的编解码效率,提出了一种基于快速"首位1检测"的Exp-Golomb编解码器硬件实现方法,降低了计算量并节省了硬件资源。该Exp-Golomb编解码器已通过RTL(Register Transfer Level)级仿真和综合,并在FPGA(Field Programmable Gate Array)开发平台进行了验证,在133 MHz时钟频率下编解码器的综合门数分别为765门和632门。该编解码器能满足Baseline档次(30帧/s),分辨率为352×288视频序列的实时编解码对质量和速度的要求。 展开更多
关键词 H.264标准 熵编码 指数哥伦布码 大规模集成电路
下载PDF
VLSI中高性能X结构多层总体布线器 被引量:3
16
作者 刘耿耿 庄震 +1 位作者 郭文忠 陈国龙 《自动化学报》 EI CSCD 北大核心 2020年第1期79-93,共15页
X结构带来物理设计诸多性能的提高,该结构的引入和多层工艺的普及,使得总体布线算法更复杂.为此,在XGRouter布线器的基础上,本文设计了三种有效的加强策略,包括:1)增加新类型的布线方式;2)粒子群优化(Particle swarm optimization,PSO)... X结构带来物理设计诸多性能的提高,该结构的引入和多层工艺的普及,使得总体布线算法更复杂.为此,在XGRouter布线器的基础上,本文设计了三种有效的加强策略,包括:1)增加新类型的布线方式;2)粒子群优化(Particle swarm optimization,PSO)算法与基于新布线代价的迷宫布线的结合;3)初始阶段中预布线容量的缩减策略,继而引入了多层布线模型,简化了XGRouter的整数线性规划模型,最终构建了一种高性能的X结构多层总体布线器,称为ML-XGRouter.在标准测试电路的仿真实验结果表明,ML-XGRouter相对其他各类总体布线器,在多层总体布线中最重要的优化目标|溢出数和线长总代价两个指标上均取得最佳. 展开更多
关键词 X结构 多层布线 超大规模集成电路 总体布线 粒子群优化
下载PDF
一种VLSI剖分系统的研究与实现 被引量:3
17
作者 冷明 孙凌宇 郁松年 《计算机工程与应用》 CSCD 北大核心 2010年第3期62-66,共5页
基于多水平方法,设计并实现了一种VLSI剖分系统(Multilevel-based VLSI Partitioner,MVP)。介绍了MVP系统的结构框图、处理流程及模块功能。MVP系统的多水平剖分程序引入图核到粗化阶段,谱图论到初始剖分阶段,群智能到投影优化阶段,得... 基于多水平方法,设计并实现了一种VLSI剖分系统(Multilevel-based VLSI Partitioner,MVP)。介绍了MVP系统的结构框图、处理流程及模块功能。MVP系统的多水平剖分程序引入图核到粗化阶段,谱图论到初始剖分阶段,群智能到投影优化阶段,得到了无向赋权图更优的剖分。MVP系统特点体现在VLSI线网到无向赋权图的转换,避免了剖分算法直接在VLSI线网上进行剖分,提高了VLSI剖分的效率。实验及分析表明MVP系统的多水平剖分程序能找到更优的图剖分,以及MVP系统找到比现有技术更优的VLSI剖分,提高了VLSI剖分的性能。 展开更多
关键词 多水平方法 超大规模集成电路 剖分 电子设计自动化 无向赋权图
下载PDF
MPEG-2视频变长码解码VLSI设计 被引量:3
18
作者 惠新标 郑志航 叶楠 《上海交通大学学报》 EI CAS CSCD 北大核心 1999年第9期1111-1113,共3页
提出了一个MPEG2 视频解码中变长码解码的VLSI设计.采用桶形移位缓冲器并行解变长码、分别进行变长码的长度计算和解码以及将码表分割成多个小码表等新的硬件设计,使得每个周期解一个变长码的码字,保证了MPEG2 M... 提出了一个MPEG2 视频解码中变长码解码的VLSI设计.采用桶形移位缓冲器并行解变长码、分别进行变长码的长度计算和解码以及将码表分割成多个小码表等新的硬件设计,使得每个周期解一个变长码的码字,保证了MPEG2 MP@ ML的实时解码,并为更复杂的应用提供了扩展的余地. 展开更多
关键词 MPEG-2 变长解码 视频解码 vlsi 设计
下载PDF
MPEG-4运动补偿处理器的VLSI结构设计 被引量:3
19
作者 王占辉 刘大明 刘龙 《电子器件》 EI CAS 2005年第3期546-550,共5页
针对MPEG-4编解码中运动补偿控制复杂、数据吞吐量大、实现较困难的特点,提出了一种适合MPEG-4的运动补偿硬件实现方案,解决了时序分配、输入输出控制等较难处理的问题。文中的方案已经在XilinxISE6.1i集成开发环境下,采用了VHDL进行描... 针对MPEG-4编解码中运动补偿控制复杂、数据吞吐量大、实现较困难的特点,提出了一种适合MPEG-4的运动补偿硬件实现方案,解决了时序分配、输入输出控制等较难处理的问题。文中的方案已经在XilinxISE6.1i集成开发环境下,采用了VHDL进行描述,并使用了电子设计自动化(EDA)工具进行了模拟和验证。仿真和综合结果表明,该处理器逻辑功能完全正确,能满足MPEG-4CoreProfiles&Level2实时编码要求,可用于MPEG-4的VLSI实现。 展开更多
关键词 超大规模集成电路 MPEG-4 运动补偿
下载PDF
素域上椭圆曲线密码IP的高效VLSI实现 被引量:4
20
作者 朱华 周玉洁 《计算机工程》 CAS CSCD 北大核心 2008年第16期165-167,共3页
基于素域上的椭圆曲线密码算法,提出一种新型ECC IP的VLSI设计,采用层次化方法,新的点运算策略和改进的Montgomery模乘器,实现了ECC点标量乘、倍点和点加减运算并支持RSA功能。应用NIST推荐的256 bit和521 bit椭圆曲线,每秒分别能运行12... 基于素域上的椭圆曲线密码算法,提出一种新型ECC IP的VLSI设计,采用层次化方法,新的点运算策略和改进的Montgomery模乘器,实现了ECC点标量乘、倍点和点加减运算并支持RSA功能。应用NIST推荐的256 bit和521 bit椭圆曲线,每秒分别能运行120次和18次的点乘运算。设计通过了ASIC综合和FPGA验证。 展开更多
关键词 椭圆曲线密码 素域 超大规模集成电路 MONTGOMERY模乘
下载PDF
上一页 1 2 10 下一页 到第
使用帮助 返回顶部