期刊文献+
共找到3篇文章
< 1 >
每页显示 20 50 100
基于FPGA的I~2C控制器的实现及其在音频编解码中应用
1
作者 张兴华 李世银 +2 位作者 冯小龙 姜晓燕 陆靓亮 《电脑知识与技术(过刊)》 2007年第24期94-96,共3页
本文分析了I2C串行总线的数据传输机制,采用硬件描述语言verilog在行为级描述了I2C总线控制器在FPGA上的实现。给出了音频编解码芯片WM8731的配置模块IP核。根据设计流程,对程序进行了前仿真和调试,结果表明符合I2C串行总线的协议要求... 本文分析了I2C串行总线的数据传输机制,采用硬件描述语言verilog在行为级描述了I2C总线控制器在FPGA上的实现。给出了音频编解码芯片WM8731的配置模块IP核。根据设计流程,对程序进行了前仿真和调试,结果表明符合I2C串行总线的协议要求。并在Quartus II 6.0开发环境下进行了综合,后仿真和下载。 展开更多
关键词 FPGA VERILOG I~2c BUS wm8731
下载PDF
基于FPGA的语音录制与回放系统 被引量:1
2
作者 曾繁政 王明娟 +1 位作者 曲艺 李立礼 《现代电子技术》 2010年第16期66-68,共3页
系统用FPGA实现了I2C总线控制器,以Altera公司的NiosⅡ嵌入式软处理器为核心,结合高品质数字信号音频编/解码芯片WM8731成功地实现了语音的录制及回放功能,同时利用Matlab7.0.4软件对所采集的语音数据进行仿真。系统采用SoPC技术,自行... 系统用FPGA实现了I2C总线控制器,以Altera公司的NiosⅡ嵌入式软处理器为核心,结合高品质数字信号音频编/解码芯片WM8731成功地实现了语音的录制及回放功能,同时利用Matlab7.0.4软件对所采集的语音数据进行仿真。系统采用SoPC技术,自行设计采集模块和I2C协议驱动模块,并通过AWALON总线挂载在Nios软核上实时高速采集与回放。实践表明,系统具有集成度高,稳定性好,实时性强的特点。 展开更多
关键词 SOPc FPGA I2c总线 wm8731
下载PDF
基于FPGA的语音信号采集回放存储系统的设计
3
作者 路娜 赵建平 +1 位作者 胡海凤 张慧霞 《通信技术》 2013年第9期89-91,共3页
系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器,WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用... 系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器,WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用。在QuartusⅡ9.0中完成设计,并在modelsim中完成仿真,实验结果表明,该系统能够可靠完成对人的语音信号的采集、回放与存储,回放时在输出端会听到清晰语音。 展开更多
关键词 语音信号 现场可编程门阵列 wm87 31 I^2c
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部