期刊文献+
共找到429篇文章
< 1 2 22 >
每页显示 20 50 100
Camera Link图像数据接口的FPGA实现 被引量:5
1
作者 甄国涌 何方城 单彦虎 《仪表技术与传感器》 CSCD 北大核心 2020年第11期36-39,共4页
针对当前利用Camera Link接口进行图像数据传输所使用的专用转接芯片,会占用大量硬件空间和I/O口资源的问题,结合实际领域对于产品小型化、低成本的需求,提出了一种Camera Link图像数据接口的FPGA实现方案。运用硬件描述语言VHDL对图像... 针对当前利用Camera Link接口进行图像数据传输所使用的专用转接芯片,会占用大量硬件空间和I/O口资源的问题,结合实际领域对于产品小型化、低成本的需求,提出了一种Camera Link图像数据接口的FPGA实现方案。运用硬件描述语言VHDL对图像接收逻辑进行设计,为FPGA内部IP核进行模块化配置,直接利用主控制器FPGA来实现Camera Link接口,使LVDS图像数据不通过转接芯片,也能够进行解串接收和数据处理。经时序信号仿真和误码率测试,验证了该接口方案设计的正确性,具有高可靠性和实际利用价值。 展开更多
关键词 camera link接口 fpga LVDS 图像数据 串并转换
下载PDF
基于FPGA的Camera Link接口设计
2
作者 任丽晔 汪晨 《长春大学学报》 2022年第4期11-15,共5页
提出了一种基于FPGA的Camera Link接口设计,在其图像传输的功能上,配合图像采集卡添加串口通信功能,实现对Camera Link接口的测试。同时使用MMCM原语提供对整个系统的时钟输入,通过OSERDESE原语实现Camera Link接口数据的串并之间的转... 提出了一种基于FPGA的Camera Link接口设计,在其图像传输的功能上,配合图像采集卡添加串口通信功能,实现对Camera Link接口的测试。同时使用MMCM原语提供对整个系统的时钟输入,通过OSERDESE原语实现Camera Link接口数据的串并之间的转换。最后使用Modelsim仿真软件对所有模块进行仿真,测试整体的功能,实现Camera Link接口的设计,验证方案的正确性。 展开更多
关键词 camera link 图像传输 口通信 原语
下载PDF
基于Camera Link的视频图像信号源设计
3
作者 马银鸿 范正吉 +2 位作者 李张倩 洪应平 张会新 《电子设计工程》 2023年第12期23-27,共5页
针对给某智能图像信息处理终端提供可靠的图像信号源,设计了一种基于Camera Link接口的视频图像信号源。该文对图像信号源进行了总体方案设计、硬件电路设计以及帧同步算法设计并进行了验证。该图像信号源通过PCIe总线连接到上位机,并利... 针对给某智能图像信息处理终端提供可靠的图像信号源,设计了一种基于Camera Link接口的视频图像信号源。该文对图像信号源进行了总体方案设计、硬件电路设计以及帧同步算法设计并进行了验证。该图像信号源通过PCIe总线连接到上位机,并利用DDR3高速缓存图像。通过Camera Link接口与智能图像信息处理终端之间进行通信。结果表明,该设备可用于生产过程中智能图像信息处理终端的相关试验,完成了测试图像的输出,输出图像有效像素为2 560×720,采集到的画面40帧/秒,带宽可达1.9 Gbps,具有一定的工程应用价值。 展开更多
关键词 camera link PCIe总线 图像信号源 图像传输
下载PDF
基于FPGA的Camera Link转HD-SDI接口转换系统 被引量:11
4
作者 陈东成 朱明 +1 位作者 郝志成 刘剑 《液晶与显示》 CAS CSCD 北大核心 2014年第6期1065-1070,共6页
由于Camera Link相机具有接口复杂、传输距离近等局限性,设计并实现了一种基于FPGA的Camera Link转HD-SDI接口转换系统。该系统采用Altera公司的EP2S60F1020高性能FPGA完成图像数据的采集并按SMPTE274M标准编码;为解决Camera Link相机... 由于Camera Link相机具有接口复杂、传输距离近等局限性,设计并实现了一种基于FPGA的Camera Link转HD-SDI接口转换系统。该系统采用Altera公司的EP2S60F1020高性能FPGA完成图像数据的采集并按SMPTE274M标准编码;为解决Camera Link相机输出数据同HD-SDI输出图像行、场时间不同的问题,采用3片SDRAM作为帧缓存模块,延迟1帧输出;编码完成的数据输出到并串转换芯片LMH0030,从而得到HD-SDI格式的视频输出。由于Camera Link相机输出数据同HD-SDI输出图像的帧频并不绝对相同,每隔708帧必须丢去一帧数据,从而导致输出时固定丢帧,但FPGA对图像的处理并不会丢帧。实验结果表明,本系统能够将Camera Link相机输出的图像数据转换成HD-SDI输出,并用采集卡采集到图像数据。 展开更多
关键词 camera link 转换 fpga SMPTE 274M HD-SDI
下载PDF
基于FPGA实现由多路复合信号传输到Camera Link传输的研究 被引量:4
5
作者 赖冬寅 吴云峰 +3 位作者 叶玉堂 王海林 陈镇龙 李毓光 《电子器件》 CAS 2009年第3期666-668,共3页
针对传统的复合视频信号直接传输图象信息具有抗干扰能力差,噪声大等缺点,提出了一个视频信号传输方式变换的新方案,利用Camera Link通信协议在数字信息领域具有传递距离远、抗干扰能力强的优点,实现了由4路实时的复合视频信号转换为1... 针对传统的复合视频信号直接传输图象信息具有抗干扰能力差,噪声大等缺点,提出了一个视频信号传输方式变换的新方案,利用Camera Link通信协议在数字信息领域具有传递距离远、抗干扰能力强的优点,实现了由4路实时的复合视频信号转换为1路高速的Camera Link格式的信号。这种方法改变了传统的复合视频信号直接传输图象信息的思维,从而为数字信息领域远距离多路实时视频信号的快速传送和处理提供了平台。 展开更多
关键词 复合视频信号 camera link协议 fpga BT.656
下载PDF
基于DSP+FPGA的Camera Link接口相机的图像处理平台设计 被引量:4
6
作者 张向阳 程勇策 曲思潼 《电视技术》 北大核心 2013年第15期215-219,共5页
以FPGA和DSP为中心,设计实现了Camera Link接口相机的数字图像数据的VGA和PAL双制显示,解决了数字图像数据由FPGA与DSP之间高速、大数据量的实时传输。DSP对数据进行实时处理后,经千兆网络向控制计算机终端传输图像数据,解决了相机数据... 以FPGA和DSP为中心,设计实现了Camera Link接口相机的数字图像数据的VGA和PAL双制显示,解决了数字图像数据由FPGA与DSP之间高速、大数据量的实时传输。DSP对数据进行实时处理后,经千兆网络向控制计算机终端传输图像数据,解决了相机数据传输距离的限制,拓展了高速网络相机的应用范围。 展开更多
关键词 FGPA DSP camera link 高速 数字相机
下载PDF
Camera Link协议和FPGA的数字图像信号源设计 被引量:24
7
作者 王小艳 张会新 +1 位作者 孙永生 杨倩 《国外电子元器件》 2008年第7期59-61,共3页
介绍一种基于Camera Link协议和FPGA设计数字图像信号源的方法。该设计将图像数据和接口信号转换成与Camera Link协议相匹配的低压差分信号(LVDS)进行传输。提高了信号的传输距离和精度,为图像数据采集装置的测试提供了可靠的信号源。... 介绍一种基于Camera Link协议和FPGA设计数字图像信号源的方法。该设计将图像数据和接口信号转换成与Camera Link协议相匹配的低压差分信号(LVDS)进行传输。提高了信号的传输距离和精度,为图像数据采集装置的测试提供了可靠的信号源。阐述了图像信号源的设计思想与电路结构,最后简述了在实际中的应用。该信号源已经成功应用于某弹载地面测试系统中。 展开更多
关键词 图像信号源 camera link fpga
下载PDF
Camera Link数字视频光纤传输系统的FPGA实现 被引量:3
8
作者 李志强 张建华 《长春理工大学学报(自然科学版)》 2012年第4期109-112,129,共5页
为解决实时转动的光电平台中高速Camera Link数字视频信号的传输问题,在光电平台设计中采用了光纤滑环替代传统的导电滑环作为传输介质,为此提出了一种基于FPGA的Camera Link接口转光纤信号传输的设计方案。利用FP-GA强大的并行处理能力... 为解决实时转动的光电平台中高速Camera Link数字视频信号的传输问题,在光电平台设计中采用了光纤滑环替代传统的导电滑环作为传输介质,为此提出了一种基于FPGA的Camera Link接口转光纤信号传输的设计方案。利用FP-GA强大的并行处理能力,采用乒乓缓存技术将Camera Link视频信号编解码并传输,解决了Camera Link数字视频信号数据量大导电滑环难以实时传输的问题。试验结果表明,在传输速率120Mb/s的条件下系统可长时间稳定工作,最高传输速率可达到1.25Gb/s。 展开更多
关键词 camera link 光纤传输 fpga 乒乓缓存 FIFO
下载PDF
基于FPGA的Camera Link视频信号分配系统设计 被引量:3
9
作者 韩红霞 钟子晶 《微计算机信息》 2010年第17期8-9,80,共3页
在给出标准CameraLink视频信号协议的基础上,设计基于FPGA的视频分配系统,实现视频信号一分三,扩展Camer-aLink信号接口,提供给后续图像处理、存储等设备。实验表明视频分配系统可以正确的将CameraLink视频信号进行一比三分配,系统工作... 在给出标准CameraLink视频信号协议的基础上,设计基于FPGA的视频分配系统,实现视频信号一分三,扩展Camer-aLink信号接口,提供给后续图像处理、存储等设备。实验表明视频分配系统可以正确的将CameraLink视频信号进行一比三分配,系统工作稳定,信号传输质量良好。 展开更多
关键词 camera link fpga 视频分配
下载PDF
基于FPGA的Camera Link接口技术转换实现 被引量:1
10
作者 于曦 白奉强 罗正华 《成都大学学报(自然科学版)》 2016年第3期256-259,共4页
为了提高视频图像传输的实时性、有效性,设计了一款基于FPGA的Camera Link接口转换技术实现系统.系统前端通过模拟摄像机完成对视频图像的采集,采用FPGA完成对视频数据的处理,用Camera link接口完成对视频图像的高速传输,最终实现了对... 为了提高视频图像传输的实时性、有效性,设计了一款基于FPGA的Camera Link接口转换技术实现系统.系统前端通过模拟摄像机完成对视频图像的采集,采用FPGA完成对视频数据的处理,用Camera link接口完成对视频图像的高速传输,最终实现了对视频的实时传输,同时也提高视频图像的有效性. 展开更多
关键词 视频传输 实时传输 camera link接口 fpga
下载PDF
基于FPGA的Camera Link输出编码设计 被引量:5
11
作者 刘彪 王建立 +1 位作者 吕耀文 曹景太 《液晶与显示》 CAS CSCD 北大核心 2015年第2期269-274,共6页
为了Camera Link摄像机的小型化和集成化,设计并实现了基于FPGA的Camera Link接口的编码输出功能。输出编码分为3个步骤:首先,完成图像像素数据到Camera Link PORT的映射;其次,根据DS90CR287的数据编码要求对PORT数据和同步时钟信号进... 为了Camera Link摄像机的小型化和集成化,设计并实现了基于FPGA的Camera Link接口的编码输出功能。输出编码分为3个步骤:首先,完成图像像素数据到Camera Link PORT的映射;其次,根据DS90CR287的数据编码要求对PORT数据和同步时钟信号进行编码;最后,通过FIFO和并串转换功能模块完成图像数据和时钟编码信号的LVDS信号输出。使用ModelSim软件,对像素时钟为40 MHz的BASE模式进行了仿真,同时在实物实验中,完成了像素时钟为40 MHz的FULL模式的实验,通过以上两方面实验验证了设计的Camera Link输出编码方案的正确性和可行性。提出的编码方案稳定可靠,可以应用于不同模式下的Camera Link编码输出,具有很高的灵活性和应用价值。 展开更多
关键词 输出编码 camera link fpga 摄像机
下载PDF
基于FPGA的SDI到Camera Link视频接口转换系统设计 被引量:3
12
作者 朱超 刘艳滢 董月芳 《测控技术》 CSCD 北大核心 2011年第2期16-19,共4页
针对具有SDI接口输出的相机,采用Xilinx公司Spartan-3E系列的XC3S250E作为主控制芯片,设计并实现了由SDI输入到Camera Link输出的视频接口转换系统。详细介绍了SDI信号的电缆均衡、重新定时锁相、解码电路以及FPGA的数据流解交织、存储... 针对具有SDI接口输出的相机,采用Xilinx公司Spartan-3E系列的XC3S250E作为主控制芯片,设计并实现了由SDI输入到Camera Link输出的视频接口转换系统。详细介绍了SDI信号的电缆均衡、重新定时锁相、解码电路以及FPGA的数据流解交织、存储、彩色空间变换和Camera Link时序发生模块等。该系统结合实际应用,可使相机输出的SDI视频信号经转换后输入到具有Camera Link接口的图像采集卡上,便于图像的显示和处理。 展开更多
关键词 fpga SDI camera link 视频接口 转换
下载PDF
基于FPGA的Camera Link视频信号转换与控制系统设计
13
作者 徐大鹏 《微计算机信息》 2011年第9期86-87,82,共3页
为了增加Camera Link格式的视频信号传输距离和实现在相机工作中曝光时间的实时自动控制,设计了基于FPGA的视频转换与控制系统。将Camera Link格式转换为LVDS格式,这样可以增加传输通道的传输距离;同时,根据当前场景在FPAG的控制下自动... 为了增加Camera Link格式的视频信号传输距离和实现在相机工作中曝光时间的实时自动控制,设计了基于FPGA的视频转换与控制系统。将Camera Link格式转换为LVDS格式,这样可以增加传输通道的传输距离;同时,根据当前场景在FPAG的控制下自动实时调节图像传感器的曝光时间。经过系统传输后,图像采集系统可以得到稳定和高质量的图像。 展开更多
关键词 camera link LVDS fpga 视频信号转换
下载PDF
基于Camera Link标准的DSP+FPGA高速实时数字图像处理系统设计 被引量:10
14
作者 陈炎斌 金钢 《现代科学仪器》 2010年第6期61-63,共3页
针对数字图像处理系统数据量大、实时性高、体积小的要求,介绍了一种基于DSP(TMS320C6416)和FPGA(EP2C70)的高速实时数字图像处理系统,阐述了该系统的设计思路、硬件结构、工作原理,并详细描述了该系统的Camera Link硬件接口电路模块、F... 针对数字图像处理系统数据量大、实时性高、体积小的要求,介绍了一种基于DSP(TMS320C6416)和FPGA(EP2C70)的高速实时数字图像处理系统,阐述了该系统的设计思路、硬件结构、工作原理,并详细描述了该系统的Camera Link硬件接口电路模块、FPGA数据采集和逻辑控制模块、DSP图像处理模块。该系统已经成功应用到实际项目中、图像采集效果满足设计要求。 展开更多
关键词 DSP fpga cameralink
下载PDF
基于Camera Link的高可靠性图像数据传输设计 被引量:5
15
作者 甄国涌 丁润琦 张凯华 《仪表技术与传感器》 CSCD 北大核心 2021年第1期43-47,共5页
针对高速图像数据在传输时可靠性低的问题,分别从硬件和逻辑两方面对图像传输接口进行优化设计。在硬件上,采用Camera Link为高速图像数据传输接口;在逻辑上,采用FPGA为主控制器,通过对Camera Link接口时序优化设计,保证了数据传输的准... 针对高速图像数据在传输时可靠性低的问题,分别从硬件和逻辑两方面对图像传输接口进行优化设计。在硬件上,采用Camera Link为高速图像数据传输接口;在逻辑上,采用FPGA为主控制器,通过对Camera Link接口时序优化设计,保证了数据传输的准确性,并且通过采用CRC+ECC双校验结合的方法实现了图像数据在高速传输时多错重传、少错纠正,提高了数据传输的可靠性。经试验验证,该设计可实现在短距离、大数据量的情况下可靠传输,在较长距离传输时误码率低于一百亿分之一。 展开更多
关键词 camera link 图像传输 双校验 时序优化 高速传输 低误码率
下载PDF
基于Camera Link的高速图像采集处理器 被引量:15
16
作者 贾建禄 王建立 +1 位作者 郭爽 阴玉梅 《液晶与显示》 CAS CSCD 北大核心 2010年第6期914-918,共5页
设计了基于Camera Link标准的高速图像采集处理器,可以对高速的数字相机进行图像采集及实时图像处理。系统采用FPGA和DSP作为主要的内核处理单元,FGPA完成图像的采集和预处理,DSP完成复杂的图像处理任务。详细给出了处理器的结构设计和... 设计了基于Camera Link标准的高速图像采集处理器,可以对高速的数字相机进行图像采集及实时图像处理。系统采用FPGA和DSP作为主要的内核处理单元,FGPA完成图像的采集和预处理,DSP完成复杂的图像处理任务。详细给出了处理器的结构设计和一些关键技术,如Camera Link接口技术、高速缓存以及显示技术、图像处理和输出接口设计等。经过调试,系统最终可以实时完成1000帧/s的图像采集和处理任务。 展开更多
关键词 camera link 图像采集 图像处理
下载PDF
基于Cyclone IV的Camera Link-HDMI高清视频转换器设计 被引量:5
17
作者 梁义涛 唐垚 +2 位作者 史卫亚 王锋 李永刚 《电子技术应用》 北大核心 2013年第5期12-14,共3页
针对实际项目需求,在充分了解Camera Link接口协议和HDMI接口协议的基础上,给出了基于FPGA的Camera Link-HDMI高清视频转换器设计方案。选用Altera公司的Cyclone IV系列FPGA器件,完成了转换器的电路设计和性能测试。结果表明,图像转换... 针对实际项目需求,在充分了解Camera Link接口协议和HDMI接口协议的基础上,给出了基于FPGA的Camera Link-HDMI高清视频转换器设计方案。选用Altera公司的Cyclone IV系列FPGA器件,完成了转换器的电路设计和性能测试。结果表明,图像转换实时性好,色彩和图形无失真,满足设计要求。该方案是一种解决Camera Link-HDMI转换的有效方法,为相似的技术设计问题提供了有益的参考。 展开更多
关键词 视频处理 fpga camera link HDMI 转换器
下载PDF
Camera Link Full至HD-SDI接口的高清传输显示系统 被引量:3
18
作者 梁国龙 何丁龙 +2 位作者 张磊 刘延俊 王博 《液晶与显示》 CAS CSCD 北大核心 2016年第4期421-428,共8页
为实现远距离、高可靠性传输,并减小复杂度,对Camera Link Full接口数据的HD-SDI传输显示进行了深入研究。采用FPGA作为核心处理器,考虑相机输出具有多种帧频,采取帧频检测及充分降频策略,并通过3个SRAM进行缓存以实现帧频转换,以满足HD... 为实现远距离、高可靠性传输,并减小复杂度,对Camera Link Full接口数据的HD-SDI传输显示进行了深入研究。采用FPGA作为核心处理器,考虑相机输出具有多种帧频,采取帧频检测及充分降频策略,并通过3个SRAM进行缓存以实现帧频转换,以满足HD-SDI帧频25Hz的要求。考虑到SRAM数据宽度,采取FIFO行缓存策略将Camera Link Full80输出的10tap、80bits图像数据转换成单通道的8bits图像数据。最后,完成系统设计并进行实验验证。实验结果表明:系统实现了图像数据从50Hz、100Hz、500 Hz等多种帧频的Camera Link Full80到25帧HD-SDI接口1080i的格式转换及实时显示,且图像层次丰富,无失真。 展开更多
关键词 camera link Full HD-SDI fpga 三缓存 视频编码
下载PDF
Camera Link硬件接口电路设计 被引量:19
19
作者 朱齐丹 刘进业 康岭 《应用科技》 CAS 2008年第10期57-60,共4页
介绍了Camera Link接口的工作原理及Camera Link协议的主要内容,阐述了Camera Link硬件接口电路的设计方案.接口电路为图像采集卡的前端部分,主要功能为低压差分信号(LVDS)至CMOS/TTL(LVCMOS/LVTTL)信号的转换,相机控制和图像采集卡与... 介绍了Camera Link接口的工作原理及Camera Link协议的主要内容,阐述了Camera Link硬件接口电路的设计方案.接口电路为图像采集卡的前端部分,主要功能为低压差分信号(LVDS)至CMOS/TTL(LVCMOS/LVTTL)信号的转换,相机控制和图像采集卡与相机间的串行通信.系统以FPGA为主控制器,通过实验实现了上述功能,完成了Camera Link接口相机图像的采集和显示. 展开更多
关键词 camera link LVDS CMOS/TTL 电平转换
下载PDF
Base型Camera Link脱机存储系统设计 被引量:5
20
作者 吕耀文 王建立 曹景太 《光电子技术》 CAS 北大核心 2012年第4期242-245,共4页
为解决现有Base型Camera Link相机需要专用采集卡和系统机才能存储的问题,设计了基于FPGA的脱机存储系统。该系统使用两片SDRAM交替缓存图像后,经乒乓操作存储到两块IDE固态硬盘中。该系统实现了分辨率为640×480,帧频为100f/s的10... 为解决现有Base型Camera Link相机需要专用采集卡和系统机才能存储的问题,设计了基于FPGA的脱机存储系统。该系统使用两片SDRAM交替缓存图像后,经乒乓操作存储到两块IDE固态硬盘中。该系统实现了分辨率为640×480,帧频为100f/s的10位图像数据存储。实验表明系统实现了图像数据的完整存储。 展开更多
关键词 图像存储 camera link 现场可编程逻辑阵列 同步动态随机存取器 IDE固态 硬盘
下载PDF
上一页 1 2 22 下一页 到第
使用帮助 返回顶部