期刊文献+
共找到53篇文章
< 1 2 3 >
每页显示 20 50 100
Performance Evaluation and Improvement of Chipset Assembly & Test Production Line Based on Variability 被引量:1
1
作者 Chang-Jun Li Zong-Shi Xie +1 位作者 Xin-Ran Peng Bo Li 《International Journal of Automation and computing》 EI CSCD 2019年第2期186-198,共13页
"Factory physics principles" provided a method to evaluate the performance of a simple production line, whose fundamental parameters are known or given. However, it is difficult to obtain the exact and reaso... "Factory physics principles" provided a method to evaluate the performance of a simple production line, whose fundamental parameters are known or given. However, it is difficult to obtain the exact and reasonable parameters in actual manufacturing environment, especially for the complex chipset assembly & test production line(CATPL). Besides, research in this field tends to focus on evaluation and improvement of CATPL without considering performance interval and status with variability level. A developed internal benchmark method is proposed, which established three-parameter method based on the Little′s law. It integrates the variability factors, such as processing time, random failure time, and random repair time, to meet performance evaluation and improvement. A case study in a chipset assembly and test factory for the performance of CATPL is implemented. The results demonstrate the potential of the proposed method to meet performance evaluation and emphasise its relevance for practical applications. 展开更多
关键词 Performance evaluation and IMPROVEMENT chipset ASSEMBLY & TEST production line (CATPL) parameters Little's law VARIABILITY
原文传递
AI智算基础设施架构研究及关键技术分析 被引量:1
2
作者 王鑫 赵慧英 +2 位作者 汪悦 李贝 郭熹 《信息通信技术》 2023年第1期56-63,共8页
AI智算基础设施是实现人工智能快速发展的关键要素,电信运营商在构建AI智算基础设施时需要部署合理的技术架构,为用户提供高性能的AI计算能力。文章介绍了AI智算基础设施中AI-IaaS层、AI-PaaS层以及AISaaS层主要包括的组件以及主要功能... AI智算基础设施是实现人工智能快速发展的关键要素,电信运营商在构建AI智算基础设施时需要部署合理的技术架构,为用户提供高性能的AI计算能力。文章介绍了AI智算基础设施中AI-IaaS层、AI-PaaS层以及AISaaS层主要包括的组件以及主要功能。通过对当前AI智算基础设施能力短板进行分析,得出了运营商在部署新一代AI计算基础设施架构时,应重点优化的五项关键能力:高性能并行计算能力、兼容异构的开放能力、可信计算能力、资源弹性调度能力以及低碳绿色计算能力。 展开更多
关键词 人工智能 基础设施 AI芯片 智算 低碳
下载PDF
欧盟诉高通针对苹果公司排他性支付案例分析——反垄断经济学的视角
3
作者 文志成 秦建友 《竞争政策研究》 2023年第2期30-45,共16页
2022年6月,历时7年的欧盟委员会诉高通对苹果公司排他性支付案由欧盟普通法院作出裁定,判决欧盟委员会对高通的9.97亿欧元的罚款决议无效。该案例中,相关市场界定的原则、反竞争分析中所采用的同等效率竞争者(AEC)测试方法及其结果等方... 2022年6月,历时7年的欧盟委员会诉高通对苹果公司排他性支付案由欧盟普通法院作出裁定,判决欧盟委员会对高通的9.97亿欧元的罚款决议无效。该案例中,相关市场界定的原则、反竞争分析中所采用的同等效率竞争者(AEC)测试方法及其结果等方面都是欧盟委员会与高通争论的焦点,欧盟委员会、高通以及法院等三方对这些争议焦点持有不同观点,在欧盟委员会的相关报告及欧盟法院的判决书中都有明确体现。梳理该排他性支付案例的缘起、排他性支付协议的具体内容、滥用行为违法的认定方法、罚款设定的原则、起诉理由及判决思路等相关过程和内容,并进一步从反垄断经济学相关理论的视角出发,对相关市场界定、市场支配地位滥用、排他性支付的反竞争效应、AEC测试方法等方面进行深入分析,有助于了解欧盟反垄断工作的具体执法原则和执法实践,丰富对全球半导体行业及国内大型科技公司反垄断方面的执法经验,加强经济学分析方法及相关分析工具在国内反垄断立法中的应用,提高排他性滥用行为相关反垄断执法的精准性和可靠性。 展开更多
关键词 欧盟反垄断 高通与苹果公司 排他性支付 半导体芯片组 同等效率竞争者(AEC)测试
下载PDF
基于X86平台的嵌入式BIOS可配置设计 被引量:1
4
作者 张雁 熊庭刚 马中 《计算机工程》 CAS CSCD 北大核心 2007年第2期211-213,共3页
基于X86平台的嵌入式计算机得到了广泛应用。该文就如何有效提高嵌入式BIOS的配置速度,抛弃传统的BIOS开发的繁琐过程,缩短BIOS的开发周期,提出了一种不同于传统BIOS的新的设计方法——将POST过程的检测和初始化代码与初始化数据分离,... 基于X86平台的嵌入式计算机得到了广泛应用。该文就如何有效提高嵌入式BIOS的配置速度,抛弃传统的BIOS开发的繁琐过程,缩短BIOS的开发周期,提出了一种不同于传统BIOS的新的设计方法——将POST过程的检测和初始化代码与初始化数据分离,以简化配置过程对核心代码的维护。实践证明,该方法使嵌入式BIOS的配置工作更加简单、高效。 展开更多
关键词 嵌入式 BIOS 可配置 X86平台 chipset
下载PDF
龙芯处理器服务器芯片组的适配与实现 被引量:2
5
作者 郑臣明 姚宣霞 +3 位作者 周芳 郑雪峰 杨晓君 戴荣 《工程科学学报》 EI CSCD 北大核心 2022年第7期1244-1254,共11页
针对龙芯中央处理器(CPU)无对应高性能服务器芯片组的现状,设计开发了一种为龙芯CPU筛选芯片组的架构,并实现了一种龙芯CPU和芯片组适配的方法.提出了采用现场可编程门阵列(FPGA)串联在龙芯CPU和即将适配的多组芯片组之间的架构.借助于... 针对龙芯中央处理器(CPU)无对应高性能服务器芯片组的现状,设计开发了一种为龙芯CPU筛选芯片组的架构,并实现了一种龙芯CPU和芯片组适配的方法.提出了采用现场可编程门阵列(FPGA)串联在龙芯CPU和即将适配的多组芯片组之间的架构.借助于此架构,设计实现了在CPU和芯片组之间待处理物理信号线的连接方法,设计了两者之间上下电时序配合的调试方法,设计实现了规避两者信号协议差异的方法.借助该架构和这些方法能够实现同时筛选多款芯片组的目的,避免了以前需要设计多款主板进行适配的情况,节省了重复研发主板的成本;找到了可以适配龙芯CPU的高性能服务器芯片组;其芯片组规格参数和性能高于目前龙芯CPU所用的芯片组,开拓了其在服务器领域的应用. 展开更多
关键词 龙芯 芯片组 适配 服务器 现场可编程门阵列
下载PDF
一种高性能北桥芯片的设计及性能分析 被引量:1
6
作者 曾洪博 胡明昌 +2 位作者 李文 蔡飞 唐志敏 《计算机研究与发展》 EI CSCD 北大核心 2007年第9期1501-1509,共9页
计算机系统整体性能的提高不仅仅依赖于处理器计算能力的提升也需要高性能芯片组的有力支持.芯片组承担着CPU和外围设备通信的重任,而且目前大多数系统中采用把内存控制器集成在北桥中的方法,这更加突出了北桥在访存性能以至于在整个系... 计算机系统整体性能的提高不仅仅依赖于处理器计算能力的提升也需要高性能芯片组的有力支持.芯片组承担着CPU和外围设备通信的重任,而且目前大多数系统中采用把内存控制器集成在北桥中的方法,这更加突出了北桥在访存性能以至于在整个系统中的关键作用.以高性能为目标,龙芯2C处理器配套北桥芯片NB2005的设计和优化采用了很多新的方法和技术,其中包括根据程序行为进行动态Page管理的内存控制电路,一种与内存控制电路状态相结合的预取策略和具备高吞吐量低延迟的PCI通道设计等.性能测试和分析表明,搭配NB2005的龙芯2C系统访存带宽要比搭配Marvell GT64240北桥的系统提高40%以上,运行SPECCPU2000浮点和定点程序的性能分别提高了12.2%和2.5%,磁盘I/O的性能也提高了30%. 展开更多
关键词 北桥 芯片组 龙芯2处理器 内存控制器 PCI
下载PDF
曙光5000芯片组系统级功能验证平台 被引量:1
7
作者 刘涛 王凯 +1 位作者 李晓民 安学军 《计算机工程与科学》 CSCD 北大核心 2009年第11期37-39,44,共4页
曙光5000芯片组是曙光5000计算单元中的系统控制器,它通过HT接口连接两颗CPU并提供高速网络通信能力。为了确保曙光5000芯片组的功能正确性,我们为其设计了系统级功能验证平台SVP。SVP采用分层结构对系统进行建模,通过对本地计算单元的... 曙光5000芯片组是曙光5000计算单元中的系统控制器,它通过HT接口连接两颗CPU并提供高速网络通信能力。为了确保曙光5000芯片组的功能正确性,我们为其设计了系统级功能验证平台SVP。SVP采用分层结构对系统进行建模,通过对本地计算单元的系统软件行为、硬件平台功能以及远程计算单元的网络行为进行模拟,提供了接近真实系统的验证环境。在曙光5000芯片组的验证过程中,SVP发现并排除了逻辑设计中的大多数功能错误,通过并行验证加速了验证覆盖率的收敛过程。 展开更多
关键词 芯片组 功能验证 建模 模拟 覆盖率
下载PDF
基于BPL的工业监控系统级芯片MCBPL1500A的研制 被引量:1
8
作者 梁明 吴志勇 +2 位作者 王博 孙圆圆 马鹤楼 《电网与清洁能源》 2010年第7期68-72,共5页
在Actel可编程系统芯片和融合技术SoC开发平台上,设计并开发了基于宽带电力线通信(BPL)的EtherNet/IP工业监控系统级芯片-MCBPL1500A,该芯片融合了宽带电力线通信技术和EtherNet/IP技术,实现了一种便利低廉、稳定可靠的新型工业控制网络... 在Actel可编程系统芯片和融合技术SoC开发平台上,设计并开发了基于宽带电力线通信(BPL)的EtherNet/IP工业监控系统级芯片-MCBPL1500A,该芯片融合了宽带电力线通信技术和EtherNet/IP技术,实现了一种便利低廉、稳定可靠的新型工业控制网络,并通过一个典型案例进行了相应的验证应用开发。文章概要地介绍了芯片的整体架构、主要技术指标、关键技术以及测试应用情况。 展开更多
关键词 宽带电力线通信 工业监控 芯片 以太网工业协议 MCBPL1500A
下载PDF
多电子元件及芯片组布局的热分析 被引量:4
9
作者 崔昊杨 许永鹏 +2 位作者 曾俊冬 唐忠 钱婷 《上海电力学院学报》 CAS 2013年第5期459-462,共4页
针对电子线路板上多电子元件及芯片组的不同布局所产生的热场分布问题,分析了分布元件的热产生、热传导、对流和辐射过程,采用有限元理论分析方法和ANSYS软件,对线路板上多芯片组件的热场分布进行仿真.结果表明:电子元件的不同布局将导... 针对电子线路板上多电子元件及芯片组的不同布局所产生的热场分布问题,分析了分布元件的热产生、热传导、对流和辐射过程,采用有限元理论分析方法和ANSYS软件,对线路板上多芯片组件的热场分布进行仿真.结果表明:电子元件的不同布局将导致线路板热点的温度存在差别,在有限空间内合理布置元件可明显降低设备的热失效率. 展开更多
关键词 芯片组布局 热场分布 有限元理论
下载PDF
基于DMD的真三维显示系统及其三维成像引擎设计 被引量:3
10
作者 韩刚 耿征 《液晶与显示》 CAS CSCD 北大核心 2010年第1期124-129,共6页
结合在体元式三维显示(Volumetric 3D display)中比较有代表性的3种显示系统,在说明了体元式真三维显示系统的实现思路和方法的基础上,从应用的角度解析以DMD为核心构成的三维成像引擎在体元式真三维显示系统中的应用,并给出3种基于DMD... 结合在体元式三维显示(Volumetric 3D display)中比较有代表性的3种显示系统,在说明了体元式真三维显示系统的实现思路和方法的基础上,从应用的角度解析以DMD为核心构成的三维成像引擎在体元式真三维显示系统中的应用,并给出3种基于DMD的三维成像引擎架构,能够在不同层次上很好地满足真三维显示对海量数据实时、高速、精确处理的要求。 展开更多
关键词 真三维显示系统 数字微镜芯片组 真三维成像引擎
下载PDF
便携式超声诊断仪的新发展 被引量:4
11
作者 张海澜 《应用声学》 CSCD 北大核心 2012年第2期81-85,共5页
便携式超声诊断仪是近年来快速发展的新方向,它的出现和推广将改进医疗保健的质量,降低费用,可能给整个医疗事业带来新的变化。
关键词 超声诊断仪 便携式 芯片组
下载PDF
步进电机自动加减速运行的研究 被引量:11
12
作者 王宜结 《自动化技术与应用》 2004年第2期52-54,共3页
本文比较系统地介绍了一种步进电机自动加减速控制方案 ,其核心内容是如何自动根据步进电机要运行的总步数自动选择加减速级数及最高一级的速度 ,在这一点上它具有智能控制的特点 ,因而具有重要的实用价值。
关键词 步进电机 自动加减速 运行 单片机 智能控制
下载PDF
新型微机实验仪的研发与应用 被引量:1
13
作者 唐志强 陈章龙 《实验室科学》 2011年第1期161-163,共3页
现有的微型机教学都是以8086/80286/80386为主,接口仍采用825X接口芯片,实验仪则多为8086微处理器的接口实验,大多数还是在DOS下运行。微型机教学与目前的计算机现状严重脱节。由国内多所高校的有关教师发起并成立了"微机实验仪与... 现有的微型机教学都是以8086/80286/80386为主,接口仍采用825X接口芯片,实验仪则多为8086微处理器的接口实验,大多数还是在DOS下运行。微型机教学与目前的计算机现状严重脱节。由国内多所高校的有关教师发起并成立了"微机实验仪与课程改革"小组,对微机课程和实验进行改革,并设计了基于主流处理器及芯片组的教学实验仪,编写了新的课程大纲和实验指导教材。 展开更多
关键词 微机实验 课程改革 芯片组
下载PDF
基于芯片的手机增强技术发展趋势分析 被引量:3
14
作者 詹文浩 戴国华 《移动通信》 2016年第11期7-11,共5页
为了分析手机增强技术的发展趋势,以手机芯片作为分析的基础,通过研究手机芯片的现状和发展趋势,探讨了手机内应用处理器、基带芯片、射频芯片各自的市场和技术前景,并总结出增强技术在手机中的实际支持情况。通过分析得知,应用处理器... 为了分析手机增强技术的发展趋势,以手机芯片作为分析的基础,通过研究手机芯片的现状和发展趋势,探讨了手机内应用处理器、基带芯片、射频芯片各自的市场和技术前景,并总结出增强技术在手机中的实际支持情况。通过分析得知,应用处理器、基带芯片、射频芯片的发展使手机具备更强的与外界相连的能力,高清语音、视频对话等业务也得到了更强力的支持。 展开更多
关键词 手机芯片 应用处理器 基带芯片 射频芯片
下载PDF
异构平台上X86仿真的I/O框架
15
作者 方明 蒋烈辉 +2 位作者 赵秋霞 董卫宇 徐金龙 《计算机工程》 CAS CSCD 北大核心 2011年第15期246-248,共3页
针对异构处理器平台进行X86体系结构仿真的问题,提出一种I/O框架,介绍该框架中的3个主要模块:总线与接口函数的注册与映射,桥芯片中数据结构的设计与函数体的布局,中断信号选择与传递的实现技术。根据不同框架结构,通过运行SPEC2000测... 针对异构处理器平台进行X86体系结构仿真的问题,提出一种I/O框架,介绍该框架中的3个主要模块:总线与接口函数的注册与映射,桥芯片中数据结构的设计与函数体的布局,中断信号选择与传递的实现技术。根据不同框架结构,通过运行SPEC2000测试集,证明该I/O框架与其他同类框架相比,性能可提升10%20%。 展开更多
关键词 I/O框架 接口函数 桥芯片 中断子系统
下载PDF
基于片上网络的多核芯片组通讯方案 被引量:1
16
作者 侯宁 卢亚鹏 张多利 《计算机时代》 2014年第10期17-18,21,共3页
多芯片协同工作是一种廉价、低风险的高密度计算应用解决方案。由于片上网络(Network On Chip,NoC)的数据通讯具有并发、分离的特性,因此可以方便地在板级集成多块NoC多核芯片协同工作,构成NoC多核芯片组,快速提供更强大的处理能力。基... 多芯片协同工作是一种廉价、低风险的高密度计算应用解决方案。由于片上网络(Network On Chip,NoC)的数据通讯具有并发、分离的特性,因此可以方便地在板级集成多块NoC多核芯片协同工作,构成NoC多核芯片组,快速提供更强大的处理能力。基于某高性能图像处理项目,其硬件系统主要由4块NoC多核芯片构成,4块芯片采用全互连方式,研究了报文数据在不同多核芯片间的传输问题,提出了一种通过硬件实现的多核芯片组通讯方案,该方案已应用在某高性能图像处理项目。 展开更多
关键词 片上网络 多核芯片组 通信 多处理器
下载PDF
基于Dolphin芯片组的大型无线表决系统方案
17
作者 杨帆 侯宏 +1 位作者 赵党军 彭宏伟 《电子器件》 CAS 2007年第5期1967-1970,共4页
选用TI公司推出的跳频扩频(FHSS)无线通用异步接收/发送器(UART)芯片组Dolphin,提出了构成大型无线表决系统的方案.该方案采用跳频技术,成功地解决了在多用户环境下的信号窜扰的问题,而用户却无需开发跳频协议.同时,实测200m的有效半径... 选用TI公司推出的跳频扩频(FHSS)无线通用异步接收/发送器(UART)芯片组Dolphin,提出了构成大型无线表决系统的方案.该方案采用跳频技术,成功地解决了在多用户环境下的信号窜扰的问题,而用户却无需开发跳频协议.同时,实测200m的有效半径也能保证各种应用场合尤其是大型会场的运用.得益于Dolphin芯片组的引入,方案更具有保密性好、传输距离远、易于开发及可扩展性强的特点. 展开更多
关键词 跳频无线 无线表决系统 Dolphin芯片组 DBB03A
下载PDF
Pentium 4主板设计
18
作者 蒋祺明 游文建 《计算机工程》 CAS CSCD 北大核心 2002年第10期185-188,共4页
介绍了基于Intel 850 芯片组架构的Pentium 4 主板设计,针对Rambus布线规范,分析了高速、高集成硬件的一些电气设计及注意事项。
关键词 PENTIUM4 主板 设计 微处理器 850芯片组 系统时钟
下载PDF
支持多协议的WLAN芯片组系统结构研究
19
作者 文远保 刘学东 《计算机工程与科学》 CSCD 2004年第1期19-21,共3页
文章对当前无线局域网(WLAN)芯片组的系统结构进行了研究,在深入分析了现有芯片组存在的问题,如兼容性差、耗电量大以及集成度低等问题的基础上,结合WLAN芯片组发展趋势,提出了一种先进的基于主机的系统结构,并对该系统结构进行了论述。
关键词 无线局域网 芯片组 系统结构 兼容性 WLAN 网络协议
下载PDF
基于Intel 855GM的笔记本电脑设计与实现
20
作者 叶斌元 冯仙群 《计算机与现代化》 2008年第7期5-7,11,共4页
由于没有标准的硬件模块可以使用,笔记本电脑系统的设计需要从元件级开始,本文给出了一款基于Intel 855GM芯片组的笔记本电脑的设计方案和实现要点,对Intel 855GM架构、系统电源、时钟、音频、视频、BIOS等方面在设计过程中应注意的问... 由于没有标准的硬件模块可以使用,笔记本电脑系统的设计需要从元件级开始,本文给出了一款基于Intel 855GM芯片组的笔记本电脑的设计方案和实现要点,对Intel 855GM架构、系统电源、时钟、音频、视频、BIOS等方面在设计过程中应注意的问题作了深入阐述,经过调试,该系统各项性能指标均达到设计要求。 展开更多
关键词 笔记本电脑 855GM/GME芯片组 PENTIUM M处理器 BIOS
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部