期刊文献+
共找到119篇文章
< 1 2 6 >
每页显示 20 50 100
A 28/56 Gb/s NRZ/PAM-4 dual-mode transceiver with 1/4 rate reconfigurable 4-tap FFE and half-rate slicer in a 28-nm CMOS 被引量:1
1
作者 Yukun He Zhao Yuan +5 位作者 Kanan Wang Renjie Tang Yunxiang He Xian Chen Zhengyang Ye Xiaoyan Gui 《Journal of Semiconductors》 EI CAS CSCD 2024年第6期35-46,共12页
A 28/56 Gb/s NRZ/PAM-4 dual-mode transceiver(TRx)designed in a 28-nm complementary metal-oxide-semiconduc-tor(CMOS)process is presented in this article.A voltage-mode(VM)driver featuring a 4-tap reconfigurable feed-fo... A 28/56 Gb/s NRZ/PAM-4 dual-mode transceiver(TRx)designed in a 28-nm complementary metal-oxide-semiconduc-tor(CMOS)process is presented in this article.A voltage-mode(VM)driver featuring a 4-tap reconfigurable feed-forward equal-izer(FFE)is employed in the quarter-rate transmitter(TX).The half-rate receiver(RX)incorporates a continuous-time linear equal-izer(CTLE),a 3-stage high-speed slicer with multi-clock-phase sampling,and a clock and data recovery(CDR).The experimen-tal results show that the TRx operates at a maximum speed of 56 Gb/s with chip-on board(COB)assembly.The 28 Gb/s NRZ eye diagram shows a far-end vertical eye opening of 210 mV with an output amplitude of 351 mV single-ended and the 56 Gb/s PAM-4 eye diagram exhibits far-end eye opening of 33 mV(upper-eye),31 mV(mid-eye),and 28 mV(lower-eye)with an output amplitude of 353 mV single-ended.The recovered 14 GHz clock from the RX exhibits random jitter(RJ)of 469 fs and deterministic jitter(DJ)of 8.76 ps.The 875 Mb/s de-multiplexed data features 593 ps horizontal eye opening with 32.02 ps RJ,at bit-error rate(BER)of 10-5(0.53 UI).The power dissipation of TX and RX are 125 and 181.4 mW,respectively,from a 0.9-V sup-ply. 展开更多
关键词 transceiver(TRx) feed-forward equalizer(FFE) clock and data recovery(cdr) continuous time linear equalizer(CTLE)
下载PDF
适用于连续数据速率CDR的相位插值器研制 被引量:5
2
作者 矫逸书 周玉梅 +1 位作者 蒋见花 吴斌 《半导体技术》 CAS CSCD 北大核心 2010年第10期999-1002,共4页
通过对相位插值器电路进行建模分析,得到了相位插值器的线性度与输入信号之间相位差、输入信号上升时间和输出节点时间常数的关系。根据分析得到的结论,提出了一种新型的应用于连续数据速率时钟数据恢复电路的相位插值器,通过在相位插... 通过对相位插值器电路进行建模分析,得到了相位插值器的线性度与输入信号之间相位差、输入信号上升时间和输出节点时间常数的关系。根据分析得到的结论,提出了一种新型的应用于连续数据速率时钟数据恢复电路的相位插值器,通过在相位插值器之前插入延时可控的缓冲器,使其输入信号的上升时间可以跟踪数据速率的改变,在保证线性度的同时,降低电路的噪声敏感度和功耗。芯片采用Charterd 0.13μm低功耗1.5/3.3 V工艺流片验证,面积为0.02 mm2,数据速率3.125 Gb/s时,功耗为8.5 mW。 展开更多
关键词 相位插值器 时钟数据恢复 多相位时钟 数据速率
下载PDF
应用于0.5~12.5Gb/s CMOS时钟数据恢复电路的相位插值器设计
3
作者 张媛菲 赵宏亮 尹飞飞 《电子设计工程》 2024年第10期130-134,共5页
文中采用28 nm CMOS工艺,设计了一款应用于半速率CDR电路中的相位插值器。该插值器采用锁相环提供的正交参考时钟,通过编码控制的DAC电流源调整电流权重控制输出相位,一个周期内可实现128次相位插值。为了提高接收器在多通道、多协议的... 文中采用28 nm CMOS工艺,设计了一款应用于半速率CDR电路中的相位插值器。该插值器采用锁相环提供的正交参考时钟,通过编码控制的DAC电流源调整电流权重控制输出相位,一个周期内可实现128次相位插值。为了提高接收器在多通道、多协议的性能,提出了输入时钟整形电路对斜率进行调节,提高了线性度。仿真结果表明,插值器在6.25 GHz工作频率下线性度良好,微分非线性(DNL)最大不超过1 LSB,积分非线性(INL)最大不超过2 LSB,实现了高线性度、宽频率范围的设计目标。 展开更多
关键词 相位插值器 线性度 时钟恢复电路 半速率 正交时钟
下载PDF
过采样技术CDR分析及应用 被引量:9
4
作者 尹勇生 胡永华 高明伦 《应用科学学报》 CAS CSCD 北大核心 2006年第3期240-244,共5页
在串行数据通信领域中,过采样法CDR是一种便于单芯片集成、具有快速同步特点的低成本数字技术.文中经过理论分析给出了一个基于过采样技术的时钟数据恢复电路(CDR)设计.该设计采用4倍过采样技术并使用多数判决规则从输入数据位流中提取... 在串行数据通信领域中,过采样法CDR是一种便于单芯片集成、具有快速同步特点的低成本数字技术.文中经过理论分析给出了一个基于过采样技术的时钟数据恢复电路(CDR)设计.该设计采用4倍过采样技术并使用多数判决规则从输入数据位流中提取时钟和恢复数据.实验结果表明在至少1/4位宽抖动容差范围内,传输系统满足面向USB应用的差错率设计要求. 展开更多
关键词 时钟数据恢复 过采样 不归零反转
下载PDF
基于联合时钟恢复和均衡技术的光互连信号处理方法
5
作者 王英泽 李学华 杨玮 《激光杂志》 CAS 北大核心 2024年第5期153-158,共6页
在数据中心光互连系统中,针对时钟恢复模块和均衡模块相互依赖而导致两模块先决条件冲突的问题,提出了联合均衡和定时恢复反馈环路的数字信号处理方案。该方案针对PAM4信号特征,采用了改进的Gardner反馈式全数字时钟同步算法,以降低时... 在数据中心光互连系统中,针对时钟恢复模块和均衡模块相互依赖而导致两模块先决条件冲突的问题,提出了联合均衡和定时恢复反馈环路的数字信号处理方案。该方案针对PAM4信号特征,采用了改进的Gardner反馈式全数字时钟同步算法,以降低时钟恢复误差、提高收敛性能;在均衡模块,提出并采用了一种基于T/2分数间隔的改进级联多模盲均衡算法,以减小均衡稳态误差、改善信号均衡效果。仿真结果表明,该联合方案能够降低系统误码率,在满足硬判决前向纠错阈值下,40 km传输后的接收机灵敏度为-16 dBm,相较于级联方案提升了至少3 dBm。同时,联合方式的抗抽样时钟偏移(SCO)的能力更强,最大能容忍的SCO提高约200个时钟偏移量,说明本方案可以有效补偿线性损伤和时钟误差。 展开更多
关键词 数据中心光互连 盲均衡 时钟恢复 PAM4 强度调制/直接检测 数字信号处理
下载PDF
用于CDR电路的相位插值选择电路设计 被引量:3
6
作者 曾泽沧 邓军勇 蒋林 《半导体技术》 CAS CSCD 北大核心 2008年第8期721-725,共5页
时钟数据恢复电路是高速多通道串行收发系统中接收端的关键电路,其性能的优劣直接影响了整个系统的功能。描述了双环时钟数据恢复电路利用相位正交的参考时钟进行工作的原理,分析了传统的正交时钟产生方案,提出一种新的相位插值-选择方... 时钟数据恢复电路是高速多通道串行收发系统中接收端的关键电路,其性能的优劣直接影响了整个系统的功能。描述了双环时钟数据恢复电路利用相位正交的参考时钟进行工作的原理,分析了传统的正交时钟产生方案,提出一种新的相位插值-选择方案并给出了CMOS电路实现。在SMIC0.18μm CMOS工艺下采用Cadence公司的仿真工具Spectre进行了晶体管级验证,结果显示,利用该电路恢复出来的时钟对数据进行重定时,能较好地消除传输过程中积累的抖动,有效地提高了输入抖动容限。 展开更多
关键词 双环时钟数据恢复 正交相位 相位插值 CMOS电路
下载PDF
1.25~3.125Gb/s连续数据速率CDR设计 被引量:1
7
作者 矫逸书 周玉梅 +1 位作者 蒋见花 吴斌 《半导体技术》 CAS CSCD 北大核心 2010年第11期1111-1115,共5页
设计了一款工作速率为1.25~3.125 Gb/s的连续可调时钟数据恢复(CDR)电路,可以满足多种通信标准的设计需求。CDR采用相位插值型双环路结构,使系统可以根据应用需求对抖动抑制和相位跟踪能力独立进行优化。针对低功耗和低噪声的需求,提... 设计了一款工作速率为1.25~3.125 Gb/s的连续可调时钟数据恢复(CDR)电路,可以满足多种通信标准的设计需求。CDR采用相位插值型双环路结构,使系统可以根据应用需求对抖动抑制和相位跟踪能力独立进行优化。针对低功耗和低噪声的需求,提出一种新型半速率采样判决电路,利用电流共享和节点电容充放电技术,数据速率为3.125 Gb/s时,仅需要消耗50μA电流。芯片采用0.13μm工艺流片验证,面积0.42 mm2,功耗98 mW,测试结果表明,时钟数据恢复电路接收PRBS7序列时,误码率小于10-12。 展开更多
关键词 时钟数据恢复 锁相环 高速采样器 判决电路 采样电路
下载PDF
面向超高清微显示器的20Gbps低抖动CDR设计
8
作者 吴浩 季渊 +1 位作者 郑志杰 穆廷洲 《固体电子学研究与进展》 CAS 北大核心 2022年第4期323-328,共6页
针对超高清硅基微显示器对接口电路高信号带宽的要求,设计了一款20 Gbps的双环路低抖动时钟数据恢复电路。该电路工作在锁频环路时,锁定检测器控制电荷泵电流逐步减小,有效降低控制电压纹波,并采用LDO镜像结构抑制环形压控振荡器电源纹... 针对超高清硅基微显示器对接口电路高信号带宽的要求,设计了一款20 Gbps的双环路低抖动时钟数据恢复电路。该电路工作在锁频环路时,锁定检测器控制电荷泵电流逐步减小,有效降低控制电压纹波,并采用LDO镜像结构抑制环形压控振荡器电源纹波及不同电源节点间的纹波串扰,减少环路噪声。测试结果表明,提出的微显示器架构和设计的CDR电路可实际应用于超高清硅基OLED微显示器,恢复出的20 Gbps数据峰峰值抖动为36.8 ps,捕获范围为17.4~21.7 GHz,功耗为43 mW。 展开更多
关键词 微显示器 时钟数据恢复电路 电源纹波 低抖动
下载PDF
采用相邻采样求和的突发模式相位插值型CDR 被引量:1
9
作者 覃林 黄鲁 傅忠谦 《微电子学》 CAS CSCD 北大核心 2016年第2期247-250,共4页
提出了一种具有良好抑制输入数据抖动性能的突发模式相位插值型时钟数据恢复电路。在传统相位插值型电路结构的基础上,在采样保持电路与相位插值电路之间加入一级求和电路,理论分析和仿真结果表明,恢复时钟相位变化受输入数据抖动的影... 提出了一种具有良好抑制输入数据抖动性能的突发模式相位插值型时钟数据恢复电路。在传统相位插值型电路结构的基础上,在采样保持电路与相位插值电路之间加入一级求和电路,理论分析和仿真结果表明,恢复时钟相位变化受输入数据抖动的影响明显减小。电路基于1.1 V SMIC 40nm 1P8M CMOS工艺搭建,其数据率为6.25Gb/s,消耗功耗为6.7 mW,版图面积为0.35mm^2。 展开更多
关键词 时钟数据恢复电路 突发模式 相位插值型 CMOS
下载PDF
适用于半速率CDR改进型VCO的设计与实现 被引量:1
10
作者 唐世民 何小威 +1 位作者 陈吉华 陈怒兴 《半导体技术》 CAS CSCD 北大核心 2008年第1期35-38,共4页
在0.13μm数字CMOS工艺下设计实现了一种改进型的差分振荡器电路,该电路采用四级环形结构,其中心工作频率为1.25GHz,版图面积为50μm×50μm,工作范围1.1-1.4GHz,VCO的增益约为300MHz/V,在1.2V电源电压下、工作频率为1.25GH... 在0.13μm数字CMOS工艺下设计实现了一种改进型的差分振荡器电路,该电路采用四级环形结构,其中心工作频率为1.25GHz,版图面积为50μm×50μm,工作范围1.1-1.4GHz,VCO的增益约为300MHz/V,在1.2V电源电压下、工作频率为1.25GHz时的平均功耗约为10mW。版图后模拟结果表明,该VCO输出的四相时钟信号间隔均匀,占空比接近50%,可适用于基于PLL的2.5Gbps的半速率时钟数据恢复电路。 展开更多
关键词 压控振荡器 时钟数据恢复 半速率
下载PDF
基于过采样CDR的4B/5B编码的设计与实现 被引量:1
11
作者 刘忠坤 李业丽 陆利坤 《计算机应用与软件》 CSCD 2015年第10期227-230,共4页
为改善IEEE1394b串行总线上传输信息的可靠性,满足设计需求的误码率,其关键在于数字通信系统中串行传输数据编码方式的选择。对此,采用4B/5B编码方式,并给出一种过采样技术的时钟数据恢复的方法对4B/5B进行编译码。首先对过采样技术的... 为改善IEEE1394b串行总线上传输信息的可靠性,满足设计需求的误码率,其关键在于数字通信系统中串行传输数据编码方式的选择。对此,采用4B/5B编码方式,并给出一种过采样技术的时钟数据恢复的方法对4B/5B进行编译码。首先对过采样技术的时钟数据恢复方法过程进行讨论,指出恢复数据时钟是设计的难点。在此基础上建立总体设计框架,从而提高数据传输效率。通过应用Altera公司CycloneⅢ系列的FPGA芯片,在开发软件QuartusⅡ上实现4B/5B编译码仿真。最后给出仿真波形,验证在过采样技术的时钟数据恢复的方法下的编码方式的可实现性和可靠性。 展开更多
关键词 4B/5B 编码 过采样 时钟数据恢复 VERILOG 语言
下载PDF
面向光通信应用的CMOS 28 Gbps低功耗高抖动容限CDR电路设计 被引量:2
12
作者 朱智宇 郭凯乐 +3 位作者 武宇轩 刘涛 吴苗苗 陆德超 《空军工程大学学报(自然科学版)》 CSCD 北大核心 2022年第2期77-82,共6页
为了解决光模块中高功耗芯片恶化激光调制器性能,以及解决收发端时钟基准偏差导致误码率高的问题,设计了一款低功耗高抖动容限的时钟数据恢复电路(CDR)。通过采用压控振荡器(VCO)型全速时钟的CDR系统架构和电感峰化的时钟缓冲技术,降低... 为了解决光模块中高功耗芯片恶化激光调制器性能,以及解决收发端时钟基准偏差导致误码率高的问题,设计了一款低功耗高抖动容限的时钟数据恢复电路(CDR)。通过采用压控振荡器(VCO)型全速时钟的CDR系统架构和电感峰化的时钟缓冲技术,降低了CDR芯片的功耗;通过在CDR积分通路中引入零点补偿电阻,提高了CDR的抖动容限。该CDR采用CMOS 65nm工艺设计和1.1V电源供电,后端仿真结果表明:当CDR电路工作在28Gbps时,功耗是2.18pJ/bit,能容忍的固定频差是5 000ppm,恢复时钟的抖动峰峰值是5.6ps,抖动容限达到了设计指标,且满足CIE-25/28G协议规范。 展开更多
关键词 高速串行接口 时钟数据恢复电路 压控振荡器 窄带缓冲器
下载PDF
0.18μm CMOS工艺连续速率CDR电路设计
13
作者 马庆培 张长春 +2 位作者 陈德媛 刘蕾蕾 郭宇锋 《半导体技术》 CAS CSCD 北大核心 2013年第12期893-898,共6页
采用标准0.18μmCMOS工艺,设计了一种连续速率时钟与数据恢复(CDR)电路。该CDR电路主要由半速率鉴频鉴相器、多频带环形压控振荡器、电荷泵和判决电路等模块组成。其中,半速率鉴频鉴相器主要由四个双边沿触发器组成,结构简单,功... 采用标准0.18μmCMOS工艺,设计了一种连续速率时钟与数据恢复(CDR)电路。该CDR电路主要由半速率鉴频鉴相器、多频带环形压控振荡器、电荷泵和判决电路等模块组成。其中,半速率鉴频鉴相器主要由四个双边沿触发器组成,结构简单,功耗和面积相应降低。多频带环形压控振荡器同时满足了较宽的调谐范围和较低的调谐增益,可以解决高振荡频率和低调谐增益之间的矛盾。电荷泵采用增益自举共源共栅放大器和互补开关电路结构,减小了各种非理想因素的影响。并行判决电路实现数据的1:2分接输出。仿真结果表明,该CDR电路能正常恢复622~3125Mbit/s的伪随机数据。版图尺寸为691μm×543μm。在1.8V电源电压下,输入伪随机速率3125Mbit/s时,功耗为120mW,恢复出的数据和时钟的抖动峰峰值分别为5.18和4.41ps。 展开更多
关键词 时钟与数据恢复(cdr) 鉴频鉴相器(PFD) 压控振荡器(VCO) 电荷泵 续速率
下载PDF
应用于CDR电路的DPLL设计与实现 被引量:1
14
作者 余发强 徐东明 张云军 《科技信息》 2010年第01X期74-75,共2页
数字锁相环(DPLL)技术在数字通信、无线电电子学等众多领域得到了极为广泛的应用,利用DPLL可以从串行位流数据中恢复出接收位同步时钟。时钟数据恢复(CDR)电路是同步光纤系统中的核心部件,性能优越的锁相环电路对CDR电路的实现有着极其... 数字锁相环(DPLL)技术在数字通信、无线电电子学等众多领域得到了极为广泛的应用,利用DPLL可以从串行位流数据中恢复出接收位同步时钟。时钟数据恢复(CDR)电路是同步光纤系统中的核心部件,性能优越的锁相环电路对CDR电路的实现有着极其关键的作用。本文介绍了一种全数字化CDR电路的设计。仿真和实验测试结果表明,该CDR电路可以对相位变化快速同步,尤其对突发数据的时钟恢复,相位抖动的消除有效。 展开更多
关键词 数字锁相环 时钟数据恢复 同步 FPGA
下载PDF
一种500Mbps至4Gbps连续速率的多模式CDR电路
15
作者 李天一 许晓冬 +3 位作者 尹韬 辛福彬 李威 杨海钢 《太赫兹科学与电子信息学报》 2017年第3期507-512,共6页
提出了一种连续速率的时钟数据恢复(CDR)电路,可覆盖500 Mbps到4 Gbps数据率。该CDR电路在130 nm互补金属氧化物半导体(CMOS)工艺下实现,基于相位插值(PI)原理,采用数字投票电路和相位控制逻辑替代电荷泵和模拟滤波器以方便工艺移植。... 提出了一种连续速率的时钟数据恢复(CDR)电路,可覆盖500 Mbps到4 Gbps数据率。该CDR电路在130 nm互补金属氧化物半导体(CMOS)工艺下实现,基于相位插值(PI)原理,采用数字投票电路和相位控制逻辑替代电荷泵和模拟滤波器以方便工艺移植。为缩小片上锁相环(PLL)输出时钟频率范围,同时避免PI电路处于非线性区,该CDR电路采用多种速率模式切换的方式将采样时钟频率限定在500 MHz^1 GHz之间。PI电路为7 bit精确度,线性度良好,4 Gbps数据率时,恢复时钟的峰峰值抖动约为25.6 ps。该CDR误码率在10-10以下,可跟踪最大±976.6 ppm的数据频偏,功耗约为13.28 m W/Gbps,测试芯片大小为5 mm2,其中CDR芯核部分为0.359 mm2。 展开更多
关键词 时钟数据恢复 相位插值 连续速率 多模式 互补金属氧化物半导体
下载PDF
A 26-Gb/s CMOS optical receiver with a reference-less CDR in 65-nm CMOS 被引量:1
16
作者 Quan Pan Xiongshi Luo +4 位作者 Zhenghao Li Zhengzhe Jia Fuzhan Chen Xuewei Ding C.Patrick Yue 《Journal of Semiconductors》 EI CAS CSCD 2022年第7期68-77,共10页
This paper presents a 26-Gb/s CMOS optical receiver that is fabricated in 65-nm technology. It consists of a tripleinductive transimpedance amplifier(TIA), direct current(DC) offset cancellation circuits, 3-stage gm-T... This paper presents a 26-Gb/s CMOS optical receiver that is fabricated in 65-nm technology. It consists of a tripleinductive transimpedance amplifier(TIA), direct current(DC) offset cancellation circuits, 3-stage gm-TIA variable-gain amplifiers(VGA), and a reference-less clock and data recovery(CDR) circuit with built-in equalization technique. The TIA/VGA frontend measurement results demonstrate 72-dB? transimpedance gain, 20.4-GHz-3-dB bandwidth, and 12-dB DC gain tuning range. The measurements of the VGA’s resistive networks also demonstrate its efficient capability of overcoming the voltage and temperature variations. The CDR adopts a full-rate topology with 12-dB imbedded equalization tuning range. Optical measurements of this chipset achieve a 10-12 BER at 26 Gb/s for a 2;-1 PRBS input with a-7.3-dBm input sensitivity. The measurement results with a 10-dB @ 13 GHz attenuator also demonstrate the effectiveness of the gain tuning capability and the built-in equalization. The entire system consumes 140 mW from a 1/1.2-V supply. 展开更多
关键词 clock and data recovery EQUALIZER optical receiver transimpedance amplifier variable-gain amplifier
下载PDF
A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector
17
作者 Chao-Ye Wen,,Wei He,the Graduate School,Huazhong University of Science and Technology,Wuhan 430074,China,Zhi-Ge Zou,,Jian-Ming Lei,Xue-Chen Zou the Department of Electronic Science and Technology,Huazhong University of Science and Technology,Wuhan 430074,China 《Journal of Electronic Science and Technology》 CAS 2012年第1期67-71,共5页
The need for wide-band clock and data recovery (CDR) circuits is discussed. A 2 Gbps to 12 Gbps continuous-rate CDR circuit employing a multi-mode voltage-control oscillator (VCO), a frequency detector, and a phas... The need for wide-band clock and data recovery (CDR) circuits is discussed. A 2 Gbps to 12 Gbps continuous-rate CDR circuit employing a multi-mode voltage-control oscillator (VCO), a frequency detector, and a phase detector (FD&PD) is described. A new automatic frequency band selection (FBS) without external reference clock is proposed to select the appropriate mode and also solve the instability problem when the circuit is powering on. The multi-mode VCO and FD/PD circuits which can operate at full-rate and half-rate modes facilitate CDR with six operation modes. The proposed CDR structure has been modeled with MATLAB and the simulated results validate its feasibility. 展开更多
关键词 clock and data recovery frequency band selection frequency detector phase detector.
下载PDF
A Phase Interpolator CDR with Low-Voltage CML Circuits
18
作者 Li-Nan Li Wei-Peng Cai 《Journal of Electronic Science and Technology》 CAS 2012年第4期314-318,共5页
In this paper, a phase interpolator clock and data recovery (CDR) with low-voltage current mode logic (CML) latched, buffers, and muxes is presented. Because of using the CML circuits, the CDR can operate in a low... In this paper, a phase interpolator clock and data recovery (CDR) with low-voltage current mode logic (CML) latched, buffers, and muxes is presented. Because of using the CML circuits, the CDR can operate in a low supply voltage. And the original swing of the differential inputs and outputs is less than that of the CMOS logic. The power supply voltage is 1.2 V, and the static current consumption is about 20 mA. In this phase interpolator CDR, the charge pump and loop filter are replaced by a digital filter. And this structure offers the benefits of increased system stability and faster acquisition. 展开更多
关键词 clock and data recovery current mode logic low voltage phase interpolator.
下载PDF
Verilog HDL modeling and design of 10Gb/s SerDes full rate CDR in 65nm CMOS
19
作者 陈莹梅 Chen Xuehui +1 位作者 Yi Lvfan Wen Guanguo 《High Technology Letters》 EI CAS 2014年第2期140-145,共6页
Phase locked loop(PLL) is a typical analog-digital mixed signal circuit and a method of conducting a top level system verification including PLL with standard digital simulator becomes especially significant.The behav... Phase locked loop(PLL) is a typical analog-digital mixed signal circuit and a method of conducting a top level system verification including PLL with standard digital simulator becomes especially significant.The behavioral level model(BLM) of the PLL in Verilog-HDL for pure digital simulator is innovated in this paper,and the design of PLL based clock and data recovery(CDR)circuit aided with jitter attenuation PLL for SerDes application is also presented.The CDR employs a dual-loop architecture where a frequency-locked loop acts as an acquisition aid to the phase-locked loop.To simultaneously meet jitter tolerance and jitter transfer specifications defined in G.8251 of optical transport network(ITU-T OTN),an additional jitter attenuation PLL is used.Simulation results show that the peak-to-peak jitter of the recovered clock and data is 5.17 ps and 2.3ps respectively.The core of the whole chip consumes 72 mA current from a 1.0V supply. 展开更多
关键词 VERILOG-HDL cdr HDL语言 CMOS 设计 全速率 解串器 混合信号电路
下载PDF
基于FPGA的XG-PON OLT侧上行BCDR实现 被引量:1
20
作者 陈荣观 陈传前 《电声技术》 2022年第9期117-122,共6页
在10 Gb·s^(-1)无源光网络(10-Gigabit-capable Passive Optical Network,XG-PON)应用中,满足新标准和产品及时面市的要求非常重要,但是更需要降低系统成本和功耗。在无源光网络(Passive Optical Network,PON)环境中,突发时钟数据... 在10 Gb·s^(-1)无源光网络(10-Gigabit-capable Passive Optical Network,XG-PON)应用中,满足新标准和产品及时面市的要求非常重要,但是更需要降低系统成本和功耗。在无源光网络(Passive Optical Network,PON)环境中,突发时钟数据恢复(Burst Clock Data Recovery,BCDR)是关键的光线路终端(Optical Line Termination,OLT)组件,它的效率直接影响PON线路的上行效率。迄今为止,国产现场可编程门阵列(Field-Programmable Gate Array,FPGA)还没有集成BCDR的解决方案。对此,提出一种基于收发器的FPGA,采用全同步过采样技术,实现XG-PON BCDR。该方案符合ITU-T G.987和ITU-T G.989标准,可以移植到没有集成BCDR的FPGA中,降低系统成本和功耗。 展开更多
关键词 现场可编程门阵列(FPGA) 10 Gb·s^(-1)无源光网络(XG-PON) 突发时钟数据恢复(Bcdr) 光线路终端(OLT)
下载PDF
上一页 1 2 6 下一页 到第
使用帮助 返回顶部