期刊文献+
共找到291篇文章
< 1 2 15 >
每页显示 20 50 100
基于数学形态学的红外点目标实时检测算法及其CPLD实现 被引量:5
1
作者 刘士建 郭立 +1 位作者 段勃 朱俊株 《中国科学技术大学学报》 CAS CSCD 北大核心 2004年第3期366-370,共5页
基于数学形态学提出了一种红外图像序列中点目标的检测算法及其硬件系统的实现 .首先介绍了基于数学形态学的点目标检测算法的基本原理 ,然后根据算法的特点提出了它在CPLD上的并行流水线实现方法 ,最后给出并分析了算法软件和硬件系统... 基于数学形态学提出了一种红外图像序列中点目标的检测算法及其硬件系统的实现 .首先介绍了基于数学形态学的点目标检测算法的基本原理 ,然后根据算法的特点提出了它在CPLD上的并行流水线实现方法 ,最后给出并分析了算法软件和硬件系统的仿真结果 .结果表明该方法可以快速、可靠地检测出低信杂比红外图像序列中的点目标 ,且系统结构简单 ,无需存储器 。 展开更多
关键词 红外图像 点目标检测 形态学 complex PROGRAMMABLE logic device(cpld)
下载PDF
基于CPLD的磁致伸缩高精度时间测量系统设计 被引量:38
2
作者 周翟和 汪丽群 +1 位作者 沈超 胡佳佳 《仪器仪表学报》 EI CAS CSCD 北大核心 2014年第1期103-108,共6页
为了提高磁致伸缩位移传感器的测量精度,设计了一种基于CPLD的高精度时间测量系统,并应用于传感器的实际测量中。系统的主要处理电路更多地在CPLD内部集成,并在传统信号处理方法的基础上增加了感应脉冲信号处理电路,有效地消除二次回波... 为了提高磁致伸缩位移传感器的测量精度,设计了一种基于CPLD的高精度时间测量系统,并应用于传感器的实际测量中。系统的主要处理电路更多地在CPLD内部集成,并在传统信号处理方法的基础上增加了感应脉冲信号处理电路,有效地消除二次回波,减少传感器在测量过程中受到的干扰,从而提高了传感器测量精度和可靠性。在系统设计上,充分发挥CPLD快速准确测量时间、编程方便灵活的优势,简化电路结构,提高了时间的测量精度,降低了成本,易于传感器的小型化和工程化。通过实验验证,时间测量的分辨率可达到20 ns,其对应的位移分辨率达到0.01 mm。和传统测量方法相比,系统的测量精度有了明显的提高,表明该系统可实现高精度时间测量,并能有效提高位移传感器的精度。 展开更多
关键词 磁致伸缩 威德曼效应 扭转波 cpld 时间测量
下载PDF
基于CPLD的可选输出CCD驱动时序设计 被引量:31
3
作者 许秀贞 李自田 +2 位作者 李长乐 皮海峰 薛利军 《光子学报》 EI CAS CSCD 北大核心 2004年第12期1504-1507,共4页
在分析了CCD器件驱动时序关系的基础上,设计了可选输出的驱动时序发生器.作为卫星上的有效载荷,CCD成像系统可以根据入射能量的多少及探测分辨率的需求,以单像元或像元二合一方式输出.选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使... 在分析了CCD器件驱动时序关系的基础上,设计了可选输出的驱动时序发生器.作为卫星上的有效载荷,CCD成像系统可以根据入射能量的多少及探测分辨率的需求,以单像元或像元二合一方式输出.选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使用VHDL语言对驱动时序发生器进行了硬件描述,采用MaxplusⅡ对所设计的驱动时序发生器进行了仿真,针对Altera公司的可编程逻辑器件EPM7128SLC84-7进行适配.系统测试结果表明,所研制的驱动时序发生器可以满足高速CCD成像仪的驱动要求. 展开更多
关键词 CCD 驱动时序 复杂可编程逻辑器件(cpld) 相关双采样(CDS)
下载PDF
CPLD芯片抗高g值冲击性能分析 被引量:16
4
作者 徐鹏 祖静 李乐 《振动与冲击》 EI CSCD 北大核心 2007年第1期148-150,共3页
利用Hopk inson杆对弹载加速度存储测试仪器电路模块常用的CPLD芯片,在未用环氧树脂胶灌封和不同方向(沿平行和垂直与冲击方向)灌封状态下进行了抗高g值冲击性能实验研究。结果表明:CPLD芯片具有很高的抗冲击性能,并且与冲击方向无关。... 利用Hopk inson杆对弹载加速度存储测试仪器电路模块常用的CPLD芯片,在未用环氧树脂胶灌封和不同方向(沿平行和垂直与冲击方向)灌封状态下进行了抗高g值冲击性能实验研究。结果表明:CPLD芯片具有很高的抗冲击性能,并且与冲击方向无关。在目前弹体侵彻各种目标时的加速度幅值范围内,CPLD芯片不会失效。 展开更多
关键词 cpld芯片 加速度 冲击
下载PDF
基于CPLD工作模式可调的线阵CCD驱动电路设计 被引量:18
5
作者 谭露雯 李景镇 +1 位作者 陆小微 杨帆 《光子学报》 EI CAS CSCD 北大核心 2010年第3期436-440,共5页
针对传统驱动电路一旦做出修改,则需对硬件或程序进行改变的缺点,以型号为TCD1707D的线阵CCD为例,介绍了一种工作模式可调的驱动方法.该方法是利用复杂可编程逻辑器件和控制外端结合,通过分别设置内外触发来实现的.在外触发模式下,利用... 针对传统驱动电路一旦做出修改,则需对硬件或程序进行改变的缺点,以型号为TCD1707D的线阵CCD为例,介绍了一种工作模式可调的驱动方法.该方法是利用复杂可编程逻辑器件和控制外端结合,通过分别设置内外触发来实现的.在外触发模式下,利用外触发脉冲,可由用户控制CCD的曝光和信号输出时间;内触发时,可以调节CCD的积分时间和驱动频率.为提高信号输出质量,针对EMC问题给出了线阵CCD的外围驱动电路.实验结果表明,该方法调试方便、电路结构简单、集成度较高、输出信号可靠稳定、受干扰小,可配合多种用户需要,对高速精确测量及线阵推扫模式具有一定参考价值. 展开更多
关键词 光电技术 线阵CCD驱动 复杂可编程逻辑器件 工作模式 电磁兼容
下载PDF
基于CPLD的光电编码器测量系统 被引量:12
6
作者 闫莎莎 朱世强 +1 位作者 刘华山 吴剑波 《机电工程》 CAS 2009年第1期77-79,共3页
为了提高光电编码器的反馈精度和消除正交波形中的抖动,提出了一种基于复杂可编程逻辑器件且具有倍频鉴相和滤波功能的光电编码器测量系统。介绍了光电编码器测量原理,将系统划分为滤波鉴相、倍频、计数3个模块,并对这3个模块进行了电... 为了提高光电编码器的反馈精度和消除正交波形中的抖动,提出了一种基于复杂可编程逻辑器件且具有倍频鉴相和滤波功能的光电编码器测量系统。介绍了光电编码器测量原理,将系统划分为滤波鉴相、倍频、计数3个模块,并对这3个模块进行了电路设计和仿真。仿真结果表明,该设计方法能够满足高精度伺服电机正交编码的信号处理要求。 展开更多
关键词 光电编码器 滤波鉴相 倍频 计数 复杂可编程逻辑器件
下载PDF
基于CPLD和单片机的低频信号源设计 被引量:12
7
作者 李小波 孙志勇 刘春生 《仪表技术与传感器》 CSCD 北大核心 2005年第11期46-48,共3页
介绍了一种应用直接数字频率综合器(DDS)技术,基于可编程逻辑器件(CPLD)和单片机设计的低频信号源。该信号源体积小、质量轻、成本低,可以方便、可靠地产生正弦信号、脉冲信号、锯齿波、三角波等常用周期信号,能够满足大部分试验和科研... 介绍了一种应用直接数字频率综合器(DDS)技术,基于可编程逻辑器件(CPLD)和单片机设计的低频信号源。该信号源体积小、质量轻、成本低,可以方便、可靠地产生正弦信号、脉冲信号、锯齿波、三角波等常用周期信号,能够满足大部分试验和科研过程中的需求。同时,还可以与计算机进行配合,适当添加一定的高级语言编程,即可产生任意波形的周期信号。对系统方案的原理和组成进行了详细说明,对系统的指标参数进行了数据分析,表明该方案实用性强,性能优良。 展开更多
关键词 可编程逻辑器件(cpld) 共享存储器 可编程信号源
下载PDF
基于CPLD的线阵CCD的驱动及数据采集 被引量:21
8
作者 刘蕾 江洁 张广军 《电子测量与仪器学报》 CSCD 2006年第4期107-110,共4页
本文介绍了基于CPLD的线阵CCD的驱动和数据采集系统的软硬件构成、工作原理及设计方案,讨论了图像采集、数据存储等技术,并对系统的测量结果进行了分析。结果表明,该系统实现了对线阵CCD的正确驱动和数据的实时采集存储,结构简单,可进... 本文介绍了基于CPLD的线阵CCD的驱动和数据采集系统的软硬件构成、工作原理及设计方案,讨论了图像采集、数据存储等技术,并对系统的测量结果进行了分析。结果表明,该系统实现了对线阵CCD的正确驱动和数据的实时采集存储,结构简单,可进一步应用于高精度的一维尺寸测量。 展开更多
关键词 线阵CCD 复杂可编程逻辑器件(cpld) 数据采集
下载PDF
基于CPLD的井下控制命令电路设计及其应用 被引量:10
9
作者 鞠晓东 成向阳 +1 位作者 卢俊强 乔文孝 《测井技术》 CAS CSCD 2005年第4期356-358,共3页
介绍一种用于井下复杂电子系统之间互联的控制命令电路。该电路采用同步串行方式,命令接收电路采用CPLD器件,能够可靠实现井下电路板间、密封电子短节间甚至是仪器间的控制联接,控制器可以多种模式灵活地发送控制命令。给出了应用中的... 介绍一种用于井下复杂电子系统之间互联的控制命令电路。该电路采用同步串行方式,命令接收电路采用CPLD器件,能够可靠实现井下电路板间、密封电子短节间甚至是仪器间的控制联接,控制器可以多种模式灵活地发送控制命令。给出了应用中的数字系统设计实例和仿真结果。 展开更多
关键词 测井仪器 控制命令 cpld 声波测井仪 电路设计 仿真 应用
下载PDF
基于cpld组合逻辑控制器模型机的设计与实现 被引量:7
10
作者 戚梅 张鹏 东野长磊 《实验室研究与探索》 CAS 北大核心 2010年第7期64-66,共3页
提出了一种使用大规模可编程逻辑器件实现对组合逻辑控制器的设计,并与给定实验箱上的时序电路、主存、输入设备、输出设备、运算器、寄存器组等部件实验模块构成1台完整的模型计算机。此设计应用了可编程逻辑器件,提高了模型机指令执... 提出了一种使用大规模可编程逻辑器件实现对组合逻辑控制器的设计,并与给定实验箱上的时序电路、主存、输入设备、输出设备、运算器、寄存器组等部件实验模块构成1台完整的模型计算机。此设计应用了可编程逻辑器件,提高了模型机指令执行的速度,也提高了系统的可靠性。 展开更多
关键词 可编程逻辑器件 组合逻辑控制器 模型机
下载PDF
卷积Turbo码编码器及CPLD实现 被引量:4
11
作者 江森 苏祥芳 +1 位作者 肖东亮 孙洪 《武汉大学学报(理学版)》 CAS CSCD 北大核心 2001年第3期359-363,共5页
详细探讨了卷积 Turbo码编码器实现过程中的关键问题 ,结合 CCSDS及 IMT- 2 0 0 0国际通信标准给出了具体解决方案 .使用 Maxplus2开发工具在 CPL D上实现了整个卷积 Turbo码编码器并给出了系统分析 。
关键词 并行级联卷积码 TURBO码 编码器 复杂可编程逻辑器 cpld 纠错编码 编码原理
下载PDF
基于CPLD的AOTV单光纤传输方案 被引量:5
12
作者 贺志容 叶妙元 +1 位作者 肖霞 赵玉富 《高电压技术》 EI CAS CSCD 北大核心 2004年第10期32-33,共2页
提出了一种以电容分压器为传感单元 ,用光纤作为一、二次侧电路间信号传输媒介的有源光电电压互感器单光纤传输方案 ;论述了对该方案用CPLD实现数据解调的原理及过程 ;给出了数据解调的仿真波形及系统采集模拟量的一组实验数据 。
关键词 光纤传输 数据解调 cpld 信号传输 仿真波形 方案 传感 电容分压器 光电电压互感器 有源
下载PDF
基于CPLD的新型测井深度面板设计 被引量:6
13
作者 李会银 范宜仁 《测井技术》 CAS CSCD 2002年第6期527-530,共4页
介绍一种基于CPLD的新型测井深度面板。该面板采用复杂可编程逻辑器件ispLSI10 32取代中小规模集成电路实现测井深度的误差校正 ,电路结构显著简化 ;采用液晶显示器、薄膜键盘作为人机交互设备 ,操作方便 ;采用单片机AT 89C5 2作为控制... 介绍一种基于CPLD的新型测井深度面板。该面板采用复杂可编程逻辑器件ispLSI10 32取代中小规模集成电路实现测井深度的误差校正 ,电路结构显著简化 ;采用液晶显示器、薄膜键盘作为人机交互设备 ,操作方便 ;采用单片机AT 89C5 2作为控制部件 ,功能增强 ,性能价格比改善。与以往的深度面板相比 ,该面板的特点是提供与主机通讯的串行接口 ,便于主机与深度面板间的通讯及控制 ;根据实际需要设定深度、张力报警参数 。 展开更多
关键词 cpld 新型 测井深度面板 设计 复杂可编程逻辑器件 VHDP 单片机 硬件 软件
下载PDF
基于CPLD的光栅信号解码与仿真分析 被引量:4
14
作者 王巍 郑立评 +1 位作者 朱建杰 赵家丰 《传感技术学报》 CAS CSCD 北大核心 2016年第12期1869-1874,共6页
运用可编程逻辑器件,设计了光栅信号的解码方案,针对信号转向计数缺失的问题,提出了一种二次计数的修正方法,并进行了仿真验证与分析。首先简述了光栅信号的运动特征;其次根据光栅信号的变化特点,采用硬件描述语言编程(Verilog)的方法,... 运用可编程逻辑器件,设计了光栅信号的解码方案,针对信号转向计数缺失的问题,提出了一种二次计数的修正方法,并进行了仿真验证与分析。首先简述了光栅信号的运动特征;其次根据光栅信号的变化特点,采用硬件描述语言编程(Verilog)的方法,设计了信号逻辑处理电路,包括细分辨向电路、转向识别电路与双向计数电路;最后通过仿真验证了该方案的可行性,并具体分析了解码速率。仿真结果表明,该方案实现了光栅信号的细分辨向与转向修正,最终计数值准确可靠,解码速度快,达到了设计目的。 展开更多
关键词 可编程逻辑器件 光栅信号 解码 计数修正 仿真
下载PDF
基于MCU和CPLD的智能移动机器人控制系统 被引量:3
15
作者 李慧 李海霞 冯显英 《机电工程》 CAS 2009年第8期100-103,共4页
针对移动机器人控制系统设计和开发要求的复杂性,从成本低、易开发、易调试和高集成性的角度出发,提出了一种基于微处理机控制单元(MCU)和复杂可编程逻辑器件(CPLD)的智能移动机器人控制系统设计。给出了自动避障、电机驱动控制、红外... 针对移动机器人控制系统设计和开发要求的复杂性,从成本低、易开发、易调试和高集成性的角度出发,提出了一种基于微处理机控制单元(MCU)和复杂可编程逻辑器件(CPLD)的智能移动机器人控制系统设计。给出了自动避障、电机驱动控制、红外遥控等关键功能的设计实现方法,着重对基于CPLD的超声波检测模块、红外编码模块、壁障模糊控制器的设计等进行了详细的论述。同时,还给出了系统主程序和超声测距子程序软件设计流程。实践结果表明,该移动机器人控制系统可使硬件结构大大简化,并具有功能丰富、集成度高、性价比高等特点。 展开更多
关键词 微处理机控制单元 复杂可编程逻辑器件 超声波检测 模糊控制器 自动避障
下载PDF
基于ARM+CPLD的UAV飞行控制系统设计 被引量:4
16
作者 宋磊 曹林平 +1 位作者 吴文超 蚩军祥 《电光与控制》 北大核心 2010年第9期47-50,共4页
根据UAV飞行控制系统的功能任务要求,提出了一种以ARM为控制核心、以CPLD为辅助控制器件的飞行控制方案。描述了飞行控制系统总体设计方案,详细设计了飞控计算机的硬件和软件。为提高飞控系统的运算速度和精度,系统硬件采用ARM+CPLD模... 根据UAV飞行控制系统的功能任务要求,提出了一种以ARM为控制核心、以CPLD为辅助控制器件的飞行控制方案。描述了飞行控制系统总体设计方案,详细设计了飞控计算机的硬件和软件。为提高飞控系统的运算速度和精度,系统硬件采用ARM+CPLD模块设计,二者通过双口RAM进行数据交换;为满足飞控系统实时性和稳定性的要求,系统移植了μC/OS-Ⅱ实时操作系统,并根据控制功能将应用程序划分为7个任务。最后,为验证系统设计的可行性,将仿真数据和试飞数据进行了对比分析,结果表明系统设计正确、可行。 展开更多
关键词 无人机 飞行控制系统 ARM芯片 复杂可编程逻辑器件 μC/OS-Ⅱ
下载PDF
用CPLD设计高精度超声液位检测系统 被引量:9
17
作者 程万胜 吴新军 刘军 《传感器技术》 CSCD 北大核心 2003年第3期42-44,共3页
为了稳定、精确地测量液位,通过运用复杂可编程逻辑器件控制超声波的发射和接收以及单片机进行数据运算的方法设计了超声波液位检测系统,该系统测量误差远远小于1mm。
关键词 复杂可编程逻辑器件 超高速硬件描述语言 超声波 液位检测
下载PDF
基于CPLD的线阵CCD驱动和数据采集处理系统 被引量:3
18
作者 刘仁伟 郑坚 +1 位作者 马春庭 殷军辉 《兵工自动化》 2007年第7期49-49,62,共2页
基于CPLD的驱动和数据采集系统,以TCD1501D线阵CCD为图像传感器。其数据采集系统由时序发生器驱动、视频信号预处理、视频信号采集和处理、LCD数字显示电路构成。系统由计算机发出命令驱动各器件,对产生的数据实时采集并在计算机和LCD... 基于CPLD的驱动和数据采集系统,以TCD1501D线阵CCD为图像传感器。其数据采集系统由时序发生器驱动、视频信号预处理、视频信号采集和处理、LCD数字显示电路构成。系统由计算机发出命令驱动各器件,对产生的数据实时采集并在计算机和LCD上显示。该系统可实现对线阵CCD的正确驱动和数据实时采集存储处理。 展开更多
关键词 线阵CCD 复杂可编程逻辑器件(cpld) 数据采集处理
下载PDF
基于CPLD的级联型多电平变换器PWM脉冲的实现 被引量:2
19
作者 王志华 尹项根 +1 位作者 程汉湘 陈锐 《电气传动》 北大核心 2003年第5期28-30,共3页
文章基于级联型多电平变换器的拓扑结构及其 PWM调制技术的特点 ,采用复杂可编程逻辑器件CPL D集成了多个载波可移相的三相 PWM发生器 ,特别适合载波移相 SPWM调制方法的实现。该 PWM发生器既简化了电路的设计 ,提高了系统的可靠性 。
关键词 级联型多电平变换器 cpld 拓扑结构 复杂可编程逻辑器件 PWM 脉冲调制 逆变器
下载PDF
基于DSP+CPLD的新型高压连续无功补偿控制器的研制 被引量:4
20
作者 陈乐柱 陈志军 王蓉 《自动化与仪表》 2007年第1期16-20,共5页
介绍一种基于软开关投切技术的高压连续无功补偿装置,并设计出适用于该方案的基于DSP+CPLD的无功补偿控制器。详细给出了控制器硬件设计方案以及软件设计流程。将DSP和CPLD应用于无功补偿控制器,使得控制器硬件结构紧凑,可靠性和实时性... 介绍一种基于软开关投切技术的高压连续无功补偿装置,并设计出适用于该方案的基于DSP+CPLD的无功补偿控制器。详细给出了控制器硬件设计方案以及软件设计流程。将DSP和CPLD应用于无功补偿控制器,使得控制器硬件结构紧凑,可靠性和实时性都得到了很大提高。 展开更多
关键词 连续无功补偿 软件开关投切 数字信号处理器 复杂可编程逻辑器件
下载PDF
上一页 1 2 15 下一页 到第
使用帮助 返回顶部