期刊文献+
共找到474篇文章
< 1 2 24 >
每页显示 20 50 100
基于FPGA内部Dual-RAM的多轴机器人指令存储
1
作者 陈镇龙 秦娟 +4 位作者 叶玉堂 刘娟秀 叶溯 张峰 张童 《电子器件》 CAS 北大核心 2013年第6期869-871,共3页
为了解决高精度多轴机器人控制系统中FPGA块RAM容量有限的问题,提出了一种保存规划运动指令的方法。利用FPGA块RAM生成Dual-RAM,通过轴选判断器选择出有效运动参数,再按轴号分别存入各Dual-RAM。实验结果表明:采用该方法,直接使用FPGA内... 为了解决高精度多轴机器人控制系统中FPGA块RAM容量有限的问题,提出了一种保存规划运动指令的方法。利用FPGA块RAM生成Dual-RAM,通过轴选判断器选择出有效运动参数,再按轴号分别存入各Dual-RAM。实验结果表明:采用该方法,直接使用FPGA内部RAM,避免了外部RAM扩展,从而精简了指令存储电路,增强了系统可移植性。该机器人系统目前已成功应用于工件上下料作业,系统运行稳定,点重复精度达0.02 mm,水平联动速度达到5.2 m/s。 展开更多
关键词 多轴机器人 指令存储 dual-ram 规划运动
下载PDF
北桥与DUAL PORT RAM间接口控制的FPGA设计
2
作者 李辉 张伟 黄俊 《计算机工程》 EI CAS CSCD 北大核心 2005年第19期223-224,共2页
介绍了一种MIPS体系结构下,北桥在SDRAM的操作规范下控制dual port RAM的新型系统结构设计方案,结合实际实现过程,较清楚地突出了设计的创新点,并介绍了测试结果,结论是该设计完全适用于MIPS系统下的数据交换系统,达到了国外同类产品的... 介绍了一种MIPS体系结构下,北桥在SDRAM的操作规范下控制dual port RAM的新型系统结构设计方案,结合实际实现过程,较清楚地突出了设计的创新点,并介绍了测试结果,结论是该设计完全适用于MIPS系统下的数据交换系统,达到了国外同类产品的速度性能要求。 展开更多
关键词 北桥 dual PORT ram FPGA
下载PDF
基于双口RAM的高速大容量气溶胶空气动力学粒径信息提取 被引量:10
3
作者 朱传雨 刘建国 +2 位作者 陆亦怀 黄书华 桂华侨 《仪器仪表学报》 EI CAS CSCD 北大核心 2010年第10期2168-2173,共6页
在气溶胶空气动力学粒谱仪系统研制中,为了满足高速、实时采集和存储大量信息的需要,提出了利用双口RAM内存芯片CY7C028作为数据共享存储器,给出双口RAM与CPLD和单片机之间的硬件接口电路和软件流程,实现CPLD和单片机之间的高速大容量通... 在气溶胶空气动力学粒谱仪系统研制中,为了满足高速、实时采集和存储大量信息的需要,提出了利用双口RAM内存芯片CY7C028作为数据共享存储器,给出双口RAM与CPLD和单片机之间的硬件接口电路和软件流程,实现CPLD和单片机之间的高速大容量通信,有效地提取了气溶胶粒子空气动力学粒径信息。该存储器容量高达32768道,每道可计数65535个粒子,操作速度在ns量级。该存储器已成功应用于空气动力学粒谱仪,完全满足仪器连续、实时、在线监测时对存储速度和容量的要求,工作稳定可靠。 展开更多
关键词 气溶胶 空气动力学粒径 双口ram CY7C028
下载PDF
基于FPGA的四口RAM设计与实现 被引量:9
4
作者 吕波 张涌 +1 位作者 黄侃 石永彪 《仪表技术与传感器》 CSCD 北大核心 2017年第1期34-37,共4页
为了满足并行系统能够高效进行大量数据传输和交换的实时性要求,设计并实现了基于FPGA的四口RAM。四口RAM由1个双口RAM模块、4个缓存模块和2个控制模块构成。双口RAM作为四口RAM的存储实体。缓存模块是外部多处理器与双口RAM之间接口数... 为了满足并行系统能够高效进行大量数据传输和交换的实时性要求,设计并实现了基于FPGA的四口RAM。四口RAM由1个双口RAM模块、4个缓存模块和2个控制模块构成。双口RAM作为四口RAM的存储实体。缓存模块是外部多处理器与双口RAM之间接口数据缓冲,由3个FIFO构成,分别缓存外部多处理器的读写命令、地址和数据。控制模块由有限状态机实现,通过分时读取4个缓存模块,完成对双口RAM的读写操作,实现四口RAM功能。软件测试和具体项目的应用表明,系统功能正常,此方法具有可行性和有效性。 展开更多
关键词 FPGA 四口ram FIFO 双口ram 有限状态机 并行系统
下载PDF
利用双口RAM实现主机与远方多个子机的实时数据交换问题研究 被引量:4
5
作者 苗世洪 王少荣 +1 位作者 刘沛 程时杰 《计算机工程与应用》 CSCD 北大核心 1999年第11期120-121,共2页
文章着重讨论在GPS同步脉冲作用下如何实现电网各子站与调度中心的实时数据交换,重点介绍了双口RAMIDT7134的特点、结构功能和它在电网监测系统中的实际使用情况。
关键词 GPS ram 实时数据交换 电网 监测系统 计算机
下载PDF
基于双口RAM的双CPU控制系统设计 被引量:23
6
作者 张有为 汪永红 +1 位作者 刘铁铭 罗军宏 《微计算机信息》 北大核心 2005年第07Z期84-85,共2页
本文从对信息的高速处理的要求出发,结合较为流行的双口RAM,介绍了设计双CPU控制系统的方法及要点,并给出了关键部分的典型实现。
关键词 双CPU 双口ram 共享 访问
下载PDF
ISA总线与双口RAM芯片IDT7025的接口设计及应用 被引量:9
7
作者 韩丰田 高钟毓 王永梁 《电测与仪表》 北大核心 2000年第11期37-40,共4页
结合静电支承控制系统的任务要求,分析了主机与DSP系统间的多种通信方式。对采用16位ISA总线与双口RAM芯片IDT7025的接口电路设计进行了重点讨论,最后给出一个在WIN98下采用中断方式对IDT7025进行数据块读写的示例。
关键词 双口ram ISA总线 数据通信 中断
下载PDF
基于FPGA的双口RAM实现及应用 被引量:35
8
作者 秦鸿刚 刘京科 吴迪 《电子设计工程》 2010年第2期72-74,共3页
为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双口RAM的存储原理及其在数字系统中的应用。采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配。功能仿真验证该设计的正确性,该... 为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双口RAM的存储原理及其在数字系统中的应用。采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配。功能仿真验证该设计的正确性,该设计能减小电路设计的复杂性,增强设计的灵活性和资源的可配置性能,降低设计成本,缩短开发周期。 展开更多
关键词 双口ram FPGA 数据采集 仿真 VERILOG HDL
下载PDF
DSP EMIF与FPGA双口RAM高速通信实现 被引量:13
9
作者 杨龙 李范鸣 刘士建 《现代电子技术》 2014年第13期10-12,16,共4页
现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传... 现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传送到FPGA的片内接收模块双口RAM并进行存储。EMIF通道实现了对数据的传输,双口RAM完成了对数据的接收。实验结果表明,该设计方案能够实现数据的双向快速正确传输。 展开更多
关键词 DSP EMIF FPGA 双口ram
下载PDF
基于双口RAM的数据采集系统设计 被引量:14
10
作者 孙爱东 金宁德 赵鑫 《电子测量技术》 2006年第2期90-91,94,共3页
文中主要介绍了油水两相管流测量装置中数据采集模块的设计。此模块由MSP430F149单片机和TMS320VC5402DSP芯片构成双处理器系统,由单片机负责数据采集及与上位机通信,DSP负责相关运算以测量混合流体轴向相关速度,利用双口RAM实现两种处... 文中主要介绍了油水两相管流测量装置中数据采集模块的设计。此模块由MSP430F149单片机和TMS320VC5402DSP芯片构成双处理器系统,由单片机负责数据采集及与上位机通信,DSP负责相关运算以测量混合流体轴向相关速度,利用双口RAM实现两种处理器之间的数据共享与通信;并采用16位并行引导方式实现了DSP芯片的程序上电自举加载。整个系统设计简明,对DSP结合单片机的双处理器系统设计有一定的借鉴意义。 展开更多
关键词 数据采集 MSP430 单片机 DSP 双口ram
下载PDF
基于双口RAM的多机数据通信技术 被引量:7
11
作者 姜平 周根荣 +1 位作者 肖红升 季斌 《仪表技术与传感器》 CSCD 北大核心 2010年第11期105-107,共3页
文中介绍了采用双口RAM转接技术,实现多机数据通信的一种可行方法。介绍了多机数据通信中的双口RAM接口和总线驱动电路。双口RAM具有接口电路简单、数据传输速度高、数据传输量大、存储数据共享等特点。采用双口RAM转接技术,解决了多机... 文中介绍了采用双口RAM转接技术,实现多机数据通信的一种可行方法。介绍了多机数据通信中的双口RAM接口和总线驱动电路。双口RAM具有接口电路简单、数据传输速度高、数据传输量大、存储数据共享等特点。采用双口RAM转接技术,解决了多机数据通信中的速度瓶颈问题。借助软件技术,有效解决了多机数据通信的数据突发性问题。 展开更多
关键词 数据通信 双口ram 单片机
下载PDF
运用双端口RAM方式通信简化数字罗盘系统设计 被引量:6
12
作者 葛伟 田维坚 +2 位作者 冯桂兰 贾兆辉 黄光伟 《科学技术与工程》 2006年第7期822-824,共3页
在数字罗盘HMR3000和单片机所组成的测控系统中运用双端口RAM方式通信,可以实现数据共享,简化系统的接口设计。介绍了这一应用方案,同时对实际应用中可能出现的端口争用问题给出了解决办法。
关键词 双端口ram 数据共享 判优 单片机 数字罗盘
下载PDF
双口RAM在双CPU电能质量监测装置中的应用 被引量:7
13
作者 袁帅 李中伟 佟为明 《低压电器》 北大核心 2008年第7期24-26,33,共4页
在双CPU电能质量监测装置的设计中,为解决两个CPU间高速、大量数据交换的问题,采用了共享双口RAM方案。介绍了双口RAM芯片的功能特点,并设计了其与DSP、ARM间的硬件接口电路。根据该芯片的读写时序,分别配置了DSP和ARM的外部存储器接口... 在双CPU电能质量监测装置的设计中,为解决两个CPU间高速、大量数据交换的问题,采用了共享双口RAM方案。介绍了双口RAM芯片的功能特点,并设计了其与DSP、ARM间的硬件接口电路。根据该芯片的读写时序,分别配置了DSP和ARM的外部存储器接口寄存器,并给出了源代码。运行结果表明,该设计能保证DSP和ARM稳定、实时地交换数据。 展开更多
关键词 电能质量监测 双口ram 双CPU
下载PDF
双口RAM在多CPU小卫星综合电子计算机中的应用 被引量:5
14
作者 刘强 王旭 李志刚 《计算机测量与控制》 北大核心 2014年第11期3744-3746,3750,共4页
结合小卫星综合电子系统可靠性、实时性及数据共享的特点,分析双口RAM芯片的特性,提出了基于双口RAM的多CPU架构星载综合电子计算机应用设计方案;设计用双口RAM实现多CPU之间高速、可靠的并行数据通信和共享,使整个系统软硬件设计简单,... 结合小卫星综合电子系统可靠性、实时性及数据共享的特点,分析双口RAM芯片的特性,提出了基于双口RAM的多CPU架构星载综合电子计算机应用设计方案;设计用双口RAM实现多CPU之间高速、可靠的并行数据通信和共享,使整个系统软硬件设计简单,数据充分共享,可靠性较高;给出基于双口RAM多CPU架构综合电子计算机的应用实例和实验分析,实验结果表明,该系统运行稳定可靠,具有很高的实时性和数据处理能力。 展开更多
关键词 双口ram 小卫星 综合电子系统
下载PDF
基于双口RAM的冗余架构同步技术研究 被引量:3
15
作者 张遵伟 曹宝香 聂胜伟 《计算机工程》 CAS CSCD 2012年第18期221-224,共4页
冗余设计可提高容错设计中的可靠性,但其存在同步和丢拍的问题。为此,以航天某型号高可靠箭载嵌入式计算机研制为背景,结合飞行控制任务的需求和特点,基于DSP及双口RAM,利用中断和查询相结合的方式,提出一种"1+3"冗余架构中... 冗余设计可提高容错设计中的可靠性,但其存在同步和丢拍的问题。为此,以航天某型号高可靠箭载嵌入式计算机研制为背景,结合飞行控制任务的需求和特点,基于DSP及双口RAM,利用中断和查询相结合的方式,提出一种"1+3"冗余架构中的信息交互方案。应用结果表明,该方案能有效地解决冗余架构中同步及丢拍问题,并且在满足实时性的同时,提高可靠性。 展开更多
关键词 双口ram 冗余设计 容错设计 同步 可靠性
下载PDF
双端口RAM在ARM与DSP通信系统中的应用 被引量:7
16
作者 刘玉珍 张晔 《计算机系统应用》 2011年第11期214-216,213,共4页
通过使用IDT70261双端口RAM实现了ARM与TMS320C6211 DSP之间的高速实时数据通信,给出了双端口RAM与TMS320C6211和ARM的硬件连接图和ARM驱动编写细节。
关键词 双端口ram TMS320C6211 ARM 数据通信 中断
下载PDF
双口RAM在航空发动机参数采集系统中的应用 被引量:6
17
作者 章筱静 陈明 瞿赟 《计算机测量与控制》 CSCD 2007年第9期1250-1252,共3页
为了保证对大量数据的实时采集和处理以及与多个外部设备的数据通讯,提出了基于多数字信号处理器的航空发动机参数采集系统;多处理器系统的设计关键在于处理器之间的数据交换,提出了串行通讯、并行通讯、DAM、双口RAM四种数据交换方式并... 为了保证对大量数据的实时采集和处理以及与多个外部设备的数据通讯,提出了基于多数字信号处理器的航空发动机参数采集系统;多处理器系统的设计关键在于处理器之间的数据交换,提出了串行通讯、并行通讯、DAM、双口RAM四种数据交换方式并对4种方式进行了比较分析,最终采用双口RAM方案并详细讨论了双口RAM器件CY7C028在航空发动机参数采集系统中的具体应用,给出了CY7C028与TMS320C31和TMS320VC33之间的接口电路,并对CY7C028的分区处理进行了独特的软件设计,提高了实时性。 展开更多
关键词 双口ram CY7C028 航空发动机参数采集系统 TMS320C31 TMS320VC33
下载PDF
一种新颖的双口RAM通讯方案 被引量:3
18
作者 赵跃龙 张江陵 汪振华 《计算机工程与科学》 CSCD 1996年第2期79-81,共3页
本文提出了一种采用新型双口RAM器件来实现双机通讯的方案。由于采用双口RAM非异步的流水线方式和较少的元器件,所以与一般的通讯方案相比,其硬件结构要简单些,通讯速度也提高了许多倍。
关键词 双口ram 双机通信 计算机 接口
下载PDF
基于双口RAM的双CPU之间的实时双向通信 被引量:7
19
作者 邹向阳 李锋 刘戎 《微计算机信息》 北大核心 2008年第32期99-100,168,共3页
介绍一种通过双口RAM和可编程逻辑器件实现单片机80C196KC和工控机PC104之间实时双向通信的方法。对双口RAM-IDT7130和可编程逻辑器件ISP1032E的性能和特点作了详细介绍,给出了利用IDT7130和ISP1032E实现单片机80C196KC和PC104之间进行... 介绍一种通过双口RAM和可编程逻辑器件实现单片机80C196KC和工控机PC104之间实时双向通信的方法。对双口RAM-IDT7130和可编程逻辑器件ISP1032E的性能和特点作了详细介绍,给出了利用IDT7130和ISP1032E实现单片机80C196KC和PC104之间进行高速数据通信的接口电路及软件实现方案。 展开更多
关键词 双口ram 80C196KC PC104 实时双向通信
下载PDF
基于CPLD的双口RAM设计与应用 被引量:5
20
作者 王琴 全书海 《武汉理工大学学报(信息与管理工程版)》 CAS 2005年第4期66-70,共5页
介绍了在磁悬浮的主轴控制器中实现双CPU之间数据通信的双口RAM设计。采用复杂可编程逻辑器件(CPLD),用基于原理图和VHDL语言两者相结合的方法实现了多字节双口RAM的设计,并在设计过程中采用数字逻辑方法解决了2个CPU对双口RAM同时进行... 介绍了在磁悬浮的主轴控制器中实现双CPU之间数据通信的双口RAM设计。采用复杂可编程逻辑器件(CPLD),用基于原理图和VHDL语言两者相结合的方法实现了多字节双口RAM的设计,并在设计过程中采用数字逻辑方法解决了2个CPU对双口RAM同时进行写操作时产生冲突的问题,在磁悬浮主轴控制器中获得了成功应用。 展开更多
关键词 复杂可编程逻辑器件VHDL 数字信号处理器 双口ram
下载PDF
上一页 1 2 24 下一页 到第
使用帮助 返回顶部