期刊文献+
共找到252篇文章
< 1 2 13 >
每页显示 20 50 100
Phase control of magnetron sputtering deposited Gd_2O_3 thin films as high-κ gate dielectrics 被引量:1
1
作者 岳守晶 魏峰 +3 位作者 王毅 杨志民 屠海令 杜军 《Journal of Rare Earths》 SCIE EI CAS CSCD 2008年第3期371-374,共4页
Gd2O3 thin films as high-κ gate dielectrics were deposited directly on Si(001) substrates by magnetron sputtering at a pressure of 1.3 Pa and different temperatures. X-ray diffraction results revealed that all the ... Gd2O3 thin films as high-κ gate dielectrics were deposited directly on Si(001) substrates by magnetron sputtering at a pressure of 1.3 Pa and different temperatures. X-ray diffraction results revealed that all the films grown from 450 to 570 ℃ were crystalline, and the Gd2O3 thin films consisted of a mixture of cubic and monoclinic phases. The growth temperature was a critical parameter for the phase constituents and their relative amount. Low temperature was favorable for the formation of cubic phase while higher temperature gave rise to more monoclinic phase. All the Gd2O3 thin films grown from different temperatures exhibited acceptable electrical properties, such as low leakage current density (JL) of 10-5 A/cm^2 at zero bias with capacitance equivalent SiO2 thickness in the range of 6-13 nm. Through the comparison between films grown at 450 and 570 ℃, the existence of monoclinic phase caused an increase in JL by nearly one order of magnitude and a reduction of effective dielectric constant from 17 to 9. 展开更多
关键词 Gd2O3 thin film rare earth oxide high-κ gate dielectric magnetron sputtering
下载PDF
Challenges in Atomic-Scale Characterization of High-k Dielectrics and Metal Gate Electrodes for Advanced CMOS Gate Stacks 被引量:1
2
作者 Xinhua Zhu Jian-min Zhu Aidong Li Zhiguo Liu Naiben Ming 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2009年第3期289-313,共25页
The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because... The decreasing feature sizes in complementary metal-oxide semiconductor (CMOS) transistor technology will require the replacement of SiO2 with gate dielectrics that have a high dielectric constant (high-k) because as the SiO2 gate thickness is reduced below 1.4 nm, electron tunnelling effects and high leakage currents occur in SiO2, which present serious obstacles to future device reliability. In recent years significant progress has been made on the screening and selection of high-k gate dielectrics, understanding their physical properties, and their integration into CMOS technology. Now the family of hafnium oxide-based materials has emerged as the leading candidate for high-k gate dielectrics due to their excellent physical properties. It is also realized that the high-k oxides must be implemented in conjunction with metal gate electrodes to get sufficient potential for CMOS continue scaling. In the advanced nanoscale Si-based CMOS devices, the composition and thickness of interfacial layers in the gate stacks determine the critical performance of devices. Therefore, detailed atomic- scale understandings of the microstructures and interfacial structures built in the advanced CMOS gate stacks, are highly required. In this paper, several high-resolution electron, ion, and photon-based techniques currently used to characterize the high-k gate dielectrics and interfaces at atomic-scale, are reviewed. Particularly, we critically review the research progress on the characterization of interface behavior and structural evolution in the high-k gate dielectrics by high-resolution transmission electron microscopy (HRTEM) and the related techniques based on scanning transmission electron microscopy (STEM), including high-angle annular dark- field (HAADF) imaging (also known as Z-contrast imaging), electron energy-loss spectroscopy (EELS), and energy dispersive X-ray spectroscopy (EDS), due to that HRTEM and STEM have become essential metrology tools for characterizing the dielectric gate stacks in the present and future generations of CMOS devices. In Section 1 of this review, the working principles of each technique are briefly introduced and their key features are outlined. In Section 2, microstructural characterizations of high-k gate dielectrics at atomic-scale by electron microscopy are critically reviewed by citing some recent results reported on high-k gate dielectrics. In Section 3, metal gate electrodes and the interfacial structures between high-k dielectrics and metal gates are discussed. The electron beam damage effects in high-k gate stacks are also evaluated, and their origins and prevention are described in Section 4. Finally, we end this review with personal perspectives towards the future challenges of atomic-scale material characterization in advanced CMOS gate stacks. 展开更多
关键词 high-k gate dielectrics Metal gate electrodes CMOS gate stack HRTEM STEM
下载PDF
The influence and explanation of fringing-induced barrier lowering on sub-100 nm MOSFETs with high-k gate dielectrics
3
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期602-606,共5页
The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain ... The fringing-induced barrier lowering(FIBL) effect of sub-100 nm MOSFETs with high-k gate dielectrics is investigated using a two-dimensional device simulator.An equivalent capacitance theory is proposed to explain the physics mechanism of the FIBL effect.The FIBL effect is enhanced and the short channel performance is degraded with increasing capacitance.Based on equivalent capacitance theory,the influences of channel length,junction depth,gate/lightly doped drain(LDD) overlap length,spacer material and spacer width on FIBL is thoroughly investigated.A stack gate dielectric is presented to suppress the FIBL effect. 展开更多
关键词 high-k gate dielectric fringing-induced barrier lowering stack gate dielectric MOSFET
下载PDF
Characteristics of high-quality HfSiON gate dielectric prepared by physical vapour deposition 被引量:2
4
作者 许高博 徐秋霞 《Chinese Physics B》 SCIE EI CAS CSCD 2009年第2期768-772,共5页
This paper presents a method using simple physical vapour deposition to form high-quality hafnium silicon oxynitride (HfSiON) on ultrathin SiO2 buffer layer. The gate dielectric with 10A (1A = 0.1 nm) equivalent o... This paper presents a method using simple physical vapour deposition to form high-quality hafnium silicon oxynitride (HfSiON) on ultrathin SiO2 buffer layer. The gate dielectric with 10A (1A = 0.1 nm) equivalent oxide thickness is obtained. The experimental results indicate that the prepared HfSiON gate dielectric exhibits good physical and electrical characteristics, including very good thermal stability up to 1000℃, excellent interface properties, high dielectric constant (k = 14) and low gate-leakage current (Ig = 1.9 × 10^-3 A/cm^2@Vg = Vfb - 1 V for EOT of 10 A). TaN metal gate electrode is integrated with the HfSiON gate dielectric.The effective work function of TaN on HfSiON is 4.3 eV, meeting the requirements of NMOS for the metal gate. And, the impacts of sputtering ambient and annealing temperature on the electrical properties of HfSiON gate dielectric are investigated. 展开更多
关键词 HFSION high-k gate dielectric SPUTTERING leakage current
下载PDF
Current Progress of Hf(Zr)-Based High-k Gate Dielectric Thin Films 被引量:1
5
作者 Gang HE Lide ZHANG 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2007年第4期433-448,共16页
With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investig... With the continued downscaling of complementary metal-oxide-semiconductor field effect transistor dimensions, high-dielectric constant (high-k) gate materials, as alternatives to SiO2, have been extensively investigated. Hf (Zr)-based high-k gate dielectric thin films have been regarded as the most promising candidates for high-k gate dielectric according to the International Technology Roadmap for Semiconductor due to their excellent physical properties and performance. This paper reviews the recent progress on Hf (Zr)-based high-k gate dielectrics based on PVD (physical vapor deposition) process. This article begins with a survey of various methods developed for generating Hf (Zr)-based high-k gate dielectrics, and then mainly focuses on microstructure, synthesis, characterization, formation mechanisms of interfacial layer, and optical properties of Hf (Zr)-based high-k gate dielectrics. Finally, this review concludes with personal perspectives towards future research on Hf (Zr)-based high-k gate dielectrics. 展开更多
关键词 Hf (Zr)-based high-k gate dielectric PVD Optical properties metal-oxide-semiconductor
下载PDF
High-k gate dielectric GaAs MOS device with LaON as interlayer and NH_3-plasma surface pretreatment 被引量:1
6
作者 刘超文 徐静平 +1 位作者 刘璐 卢汉汉 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第12期494-498,共5页
High-k gate dielectric Hf Ti ON Ga As metal-oxide–semiconductor(MOS) capacitors with La ON as interfacial passivation layer(IPL) and NH3- or N2-plasma surface pretreatment are fabricated, and their interfacial an... High-k gate dielectric Hf Ti ON Ga As metal-oxide–semiconductor(MOS) capacitors with La ON as interfacial passivation layer(IPL) and NH3- or N2-plasma surface pretreatment are fabricated, and their interfacial and electrical properties are investigated and compared with their counterparts that have neither La ON IPL nor surface treatment. It is found that good interface quality and excellent electrical properties can be achieved for a NH3-plasma pretreated Ga As MOS device with a stacked gate dielectric of Hf Ti ON/La ON. These improvements should be ascribed to the fact that the NH3-plasma can provide H atoms and NH radicals that can effectively remove defective Ga/As oxides. In addition, La ON IPL can further block oxygen atoms from being in-diffused, and Ga and As atoms from being out-diffused from the substrate to the high-k dielectric. This greatly suppresses the formation of Ga/As native oxides and gives rise to an excellent high-k/Ga As interface. 展开更多
关键词 Ga As MOS La ON interlayer NH3-plasma treatment stacked gate dielectric
下载PDF
HfO_2 Gate Dielectrics for Future Generation of CMOS Device Application 被引量:1
7
作者 H.Y.Yu J.F.Kang +2 位作者 Ren Chi M.F.Li D.L.Kwong 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2004年第10期1193-1204,共12页
The material and electrical properties of HfO 2 hi gh-k gate dielectric are reported.In the first part,the band alignment of H fO 2 and (HfO 2) x(Al 2O 3) 1-x to (100)Si substrate and thei r thermal stability are stud... The material and electrical properties of HfO 2 hi gh-k gate dielectric are reported.In the first part,the band alignment of H fO 2 and (HfO 2) x(Al 2O 3) 1-x to (100)Si substrate and thei r thermal stability are studied by X-ray photoelectron spectroscopy and TEM.The energy gap of (HfO 2) x(Al 2O 3) 1-x,the valence band offset, and the conduction band offset between (HfO 2) x(Al 2O 3) 1-x and the Si substrate as functions of x are obtained based on the XPS results .Our XPS results also demonstrate that both the thermal stability and the resist ance to oxygen diffusion of HfO 2 are improved by adding Al to form Hf aluminat es.In the second part,a thermally stable and high quality HfN/HfO 2 gate stack is reported.Negligible changes in equivalent oxide thickness (EOT),gate leakage, and work function (close to Si mid-gap) of HfN/HfO 2 gate stack are demonstrat ed even after 1000℃ post-metal annealing(PMA),which is attributed to the super ior oxygen diffusion barrier of HfN as well as the thermal stability of the HfN/ HfO 2 interface.Therefore,even without surface nitridation prior to HfO 2 depo sition,the EOT of HfN/HfO 2 gate stack has been successfully scaled down to les s than 1nm after 1000℃ PMA with excellent leakage and long-term reliability.T he last part demonstrates a novel replacement gate process employing a HfN dummy gate and sub-1nm EOT HfO 2 gate dielectric.The excellent thermal stability of the HfN/HfO 2 gate stack enables its use in high temperature CMOS processes.Th e replacement of HfN with other metal gate materials with work functions adequat e for n- and p-MOS is facilitated by a high etch selectivity of HfN with respe ct to HfO 2,without any degradation to the EOT,gate leakage,or TDDB characteris tics of HfO 2. 展开更多
关键词 HFO2 CMOS TDDB TEM XPS Al2O3 PMA
下载PDF
A threshold voltage analytical model for high-k gate dielectric MOSFETs with fully overlapped lightly doped drain structures
8
作者 马飞 刘红侠 +1 位作者 匡潜玮 樊继斌 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第5期596-601,共6页
We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overl... We investigate the influence of voltage drop across the lightly doped drain(LDD) region and the built-in potential on MOSFETs,and develop a threshold voltage model for high-k gate dielectric MOSFETs with fully overlapped LDD structures by solving the two-dimensional Poisson's equation in the silicon and gate dielectric layers.The model can predict the fringing-induced barrier lowering effect and the short channel effect.It is also valid for non-LDD MOSFETs.Based on this model,the relationship between threshold voltage roll-off and three parameters,channel length,drain voltage and gate dielectric permittivity,is investigated.Compared with the non-LDD MOSFET,the LDD MOSFET depends slightly on channel length,drain voltage,and gate dielectric permittivity.The model is verified at the end of the paper. 展开更多
关键词 threshold voltage high-k gate dielectric fringing-induced barrier lowering short channeleffect
下载PDF
Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process
9
作者 王艳蓉 杨红 +10 位作者 徐昊 王晓磊 罗维春 祁路伟 张淑祥 王文武 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第11期464-467,共4页
A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. ... A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the depo- sition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1A and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. 展开更多
关键词 high-k/metal gate time dependent dielectric breakdown multi-deposition multi-annealing
下载PDF
Analytical model including the fringing-induced barrier lowering effect for a dual-material surrounding-gate MOSFET with a high-κ gate dielectric
10
作者 李聪 庄奕琪 +1 位作者 张丽 包军林 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第4期605-611,共7页
By solving Poisson's equation in both semiconductor and gate insulator regions in the cylindrical coordinates, an analytical model for a dual-material surrounding-gate (DMSG) metal-oxide semiconductor field-effect ... By solving Poisson's equation in both semiconductor and gate insulator regions in the cylindrical coordinates, an analytical model for a dual-material surrounding-gate (DMSG) metal-oxide semiconductor field-effect transistor (MOSFET) with a high-k gate dielectric has been developed. Using the derived model, the influences of fringing-induced barrier lowering (FIBL) on surface potential, subthreshold current, DIBL, and subthreshold swing are investigated. It is found that for the same equivalent oxide thickness, the gate insulator with high-k dielectric degrades the short-channel performance of the DMSG MOSFET. The accuracy of the analytical model is verified by the good agreement of its results with that obtained from the ISE three-dimensional numerical device simulator. 展开更多
关键词 high-k gate dielectric fringing-induced barrier lowering analytical model
下载PDF
Energy-band alignment of atomic layer deposited(HfO_2)_x(Al_2O_3)_(1-x) gate dielectrics on 4H-SiC
11
作者 贾仁需 董林鹏 +5 位作者 钮应喜 李诚瞻 宋庆文 汤晓燕 杨霏 张玉明 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第3期408-411,共4页
We study a series of(HfO2)x(Al2O3)1-x /4H-SiC MOS capacitors. It is shown that the conduction band offset of HfO2 is 0.5 e V and the conduction band offset of Hf AlO is 1.11–1.72 e V. The conduction band offsets... We study a series of(HfO2)x(Al2O3)1-x /4H-SiC MOS capacitors. It is shown that the conduction band offset of HfO2 is 0.5 e V and the conduction band offset of Hf AlO is 1.11–1.72 e V. The conduction band offsets of(Hf O2)x(Al2O3)1-x are increased with the increase of the Al composition, and the(HfO2)x(Al2O3)1-x offer acceptable barrier heights(〉 1 e V)for both electrons and holes. With a higher conduction band offset,(Hf O2)x(Al2O3)1-x/4H-SiC MOS capacitors result in a ~ 3 orders of magnitude lower gate leakage current at an effective electric field of 15 MV/cm and roughly the same effective breakdown field of ~ 25 MV/cm compared to HfO2. Considering the tradeoff among the band gap, the band offset, and the dielectric constant, we conclude that the optimum Al2O3 concentration is about 30% for an alternative gate dielectric in 4H-Si C power MOS-based transistors. 展开更多
关键词 energy-band alignment high k gate dielectrics 4H-SiC MOS capacitor
下载PDF
Electrical properties and reliability of HfO2 gate-dielectric MOS capacitors with trichloroethylene surface pretreatment 被引量:1
12
作者 徐静平 陈卫兵 +2 位作者 黎沛涛 李艳萍 陈铸略 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第2期529-532,共4页
Trichloroethylene (TCE) pretreatment of Si surface prior to HfO2 deposition is employed to fabricate HfO2 gatedielectric MOS capacitors. Influence of this processing procedure on interlayer growth, HfO2/Si interface... Trichloroethylene (TCE) pretreatment of Si surface prior to HfO2 deposition is employed to fabricate HfO2 gatedielectric MOS capacitors. Influence of this processing procedure on interlayer growth, HfO2/Si interface properties, gate-oxide leakage and device reliability is investigated. Among the surface pretreatments in NH3, NO, N2O and TCE ambients, the TCE pretreatment gives the least interlayer growths the lowest interface-state density, the smallest gate leakage and the highest reliability. All these improvements should be ascribed to the passivation effects of Cl2 and HC1 on the structural defects in the interlayer and at the interface, and also their gettering effects on the ion contamination in the gate dielectric. 展开更多
关键词 MOS capacitors high-k gate dielectric HFO2 INTERLAYER surface treatment
下载PDF
Analysis of flatband voltage shift of metal/high-k/SiO_2/Si stack based on energy band alignment of entire gate stack
13
作者 韩锴 王晓磊 +2 位作者 徐永贵 杨红 王文武 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第11期536-540,共5页
A theoretical model of flatband voltage (VFB) of metal/high-k/Si02/Si stack is proposed based on band alignment of entire gate stack, i.e., the VFB is obtained by simultaneously considering band alignments of metal/... A theoretical model of flatband voltage (VFB) of metal/high-k/Si02/Si stack is proposed based on band alignment of entire gate stack, i.e., the VFB is obtained by simultaneously considering band alignments of metal/high-k, high-k/SiO2 and SiO2/Si interfaces, and their interactions. Then the VFB of TiN/HfO2/SiO2/Si stack is experimentally obtained and theoretically investigated by this model. The theoretical calculations are in good agreement with the experimental results. Furthermore, both positive VFB shift of TiN/HfO2/SiO2/Si stack and Fermi level pinning are successfully interpreted and attributed to the dielectric contact induced gap states at TiN/HfO2 and HfO2/SiO2 interfaces. 展开更多
关键词 metal gate high-k dielectric band alignment Vfb shift
下载PDF
Synthesis of thermally stable HfO_xN_y as gate dielectric for AlGaN/GaN heterostructure field-effect transistors
14
作者 张彤 蒲涛飞 +4 位作者 谢天 李柳暗 补钰煜 王霄 敖金平 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第7期580-584,共5页
In this paper, we adopted thermally stable HfOxNy as gate dielectric for TiN/HfOxNy/A1GaN/GaN heterostructure field-effect transistors (HFETs) application. It demonstrated that the surface morphologies, composition,... In this paper, we adopted thermally stable HfOxNy as gate dielectric for TiN/HfOxNy/A1GaN/GaN heterostructure field-effect transistors (HFETs) application. It demonstrated that the surface morphologies, composition, and optical properties of the HfOxNy films were dependent on oxygen flow rate in the O2/N2/mr mixture sputtering ambient. The obtained metal-oxide-semiconductor heterostructure field-effect transistors by depositing HfO2 and HfOxNy dielectric at different oxygen flow rates possessed a small hysteresis and a low leakage current. After post deposition annealing at 900 ℃, the device using HfOxNy dielectric operated normally with good pinch-off characteristics, while obvious degradation are observed for the HfO2 gated one at 600 ℃. This result shows that the HfOxNy dielectric is a promising candidate for the self-aligned gate process. 展开更多
关键词 AlGaN/GaN HFET gate dielectric HfOxNy thermal stability
下载PDF
Electrical Characteristics of MOS Capacitors with HfTiON as Gate Dielectric
15
作者 徐静平 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2009年第1期57-60,共4页
HfTiN film was deposited by co-reactive sputtering and then was annealed in dif-ferent gas ambients at temperature of 650 ℃ for 2 min to form HfTiON film. Capacitance-voltage and gate-leakage characteristics were inv... HfTiN film was deposited by co-reactive sputtering and then was annealed in dif-ferent gas ambients at temperature of 650 ℃ for 2 min to form HfTiON film. Capacitance-voltage and gate-leakage characteristics were investigated. The N2O-annealed sample exhibited small inter-face-state and oxide-charge densities, and enhanced reliability, which was attributed to the fact that nitridation could create strong Si≡N bonds to passivate dangling Si bonds and replaced strained Si-O bonds, thus forming a hardened dielectric/Si interface with high reliability. As a result, it is possible to prepare high-quality HfTiON gate dielectric of small-scaling CMOS devices in the industry-preferred N2O environment. 展开更多
关键词 high-k gate dielectric HfTiON co-reactive sputter gate-leakage current
下载PDF
Optimization of ambipolar current and analog/RF performance for T-shaped tunnel field-effect transistor with gate dielectric spacer
16
作者 韩茹 张海潮 +1 位作者 王党辉 李翠 《Chinese Physics B》 SCIE EI CAS CSCD 2019年第1期656-662,共7页
A new T-shaped tunnel field-effect transistor(TTFET) with gate dielectric spacer(GDS) structure is proposed in this paper. To further studied the effects of GDS structure on the TTFET, detailed device characteristics ... A new T-shaped tunnel field-effect transistor(TTFET) with gate dielectric spacer(GDS) structure is proposed in this paper. To further studied the effects of GDS structure on the TTFET, detailed device characteristics such as current-voltage relationships, energy band diagrams, band-to-band tunneling(BTBT) rate and the magnitude of the electric field are investigated by using TCAD simulation. It is found that compared with conventional TTFET and TTFET with gate-drain overlap(GDO) structure, GDS-TTFET not only has the minimum ambipolar current but also can suppress the ambipolar current under a more extensive bias range. Furthermore, the analog/RF performances of GDS-TTFET are also investigated in terms of transconductance, gate-source capacitance, gate-drain capacitance, cutoff frequency, and gain bandwidth production. By inserting a low-κ spacer layer between the gate electrode and the gate dielectric, the GDS structure can effectively reduce parasitic capacitances between the gate and the source/drain, which leads to better performance in term of cutoff frequency and gain bandwidth production. Finally, the thickness of the gate dielectric spacer is optimized for better ambipolar current suppression and improved analog/RF performance. 展开更多
关键词 tunneling field effect TRANSISTOR T-SHAPED TUNNEL FIELD-EFFECT TRANSISTOR gate dielectric SPACER ambipolar current analog/RF performance
下载PDF
Flat-band voltage shift in metal-gate/high-k/Si stacks
17
作者 黄安平 郑晓虎 +4 位作者 肖志松 杨智超 王玫 朱剑豪 杨晓东 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第9期381-391,共11页
In metal-gate/high-k stacks adopted by the 45 nm technology node, the fiat-band voltage (Vfb) shift remains one of the most critical challenges, particularly the flat-band voltage roll-off (Vfb roll-off) phenomeno... In metal-gate/high-k stacks adopted by the 45 nm technology node, the fiat-band voltage (Vfb) shift remains one of the most critical challenges, particularly the flat-band voltage roll-off (Vfb roll-off) phenomenon in p-channel metal- oxide-semiconductor (pMOS) devices with an ultrathin oxide layer. In this paper, recent progress on the investigation of the Vfb shift and the origin of the Vfb roll-off in the metal-gate/high-k pMOS stacks are reviewed. Methods that can alleviate the Vfb shift phenomenon are summarized and the future research trend is described. 展开更多
关键词 flat-band voltage shift Vfb roll-off metal gate high-k dielectrics
下载PDF
Improvement of Performance of HfS_2 Transistors Using a Self-Assembled Monolayer as Gate Dielectric
18
作者 张文伦 《Chinese Physics Letters》 SCIE CAS CSCD 2019年第6期57-60,共4页
This work details a study based on HfS_(2 )transistors utilizing an n-octadecylphosphonic acid-based self-assembled monolayer(SAM)as the gate dielectric.The fabrication of the SAM-based two-dimensional(2D)material tra... This work details a study based on HfS_(2 )transistors utilizing an n-octadecylphosphonic acid-based self-assembled monolayer(SAM)as the gate dielectric.The fabrication of the SAM-based two-dimensional(2D)material transistor is simple and can be used to improve the quality of the interface of air-sensitive 2D materials.In comparison to HfS_(2) transistors utilizing a conventional Al_2O_(3) gate insulator by atomic layer deposition,HfS_(2) transistors utilizing an SAM as the gate dielectric can reduce the operation region from 4 V to 2 V,enhance the field-effect mobility from 0.03 cm^2/Vs to 0.75 cm^2/Vs,improve the sub-threshold swing from 404 m V/dec to 156 m V/dec,and optimize the hysteresis to 0.03 V,thus demonstrating improved quality of the semiconductor/insulator interface. 展开更多
关键词 PERFORMANCE HfS2 SELF-ASSEMBLED MONOLAYER gate dielectric
下载PDF
Experimental evaluation of interface states during time-dependent dielectric breakdown of GaN-based MIS-HEMTs with LPCVD-SiNχgate dielectric
19
作者 赵亚文 李柳暗 +8 位作者 阙陶陶 丘秋凌 何亮 刘振兴 张津玮 吴千树 陈佳 吴志盛 刘扬 《Chinese Physics B》 SCIE EI CAS CSCD 2020年第6期419-425,共7页
We experimentally evaluated the interface state density of GaN MIS-HEMTs during time-dependent dielectric breakdown(TDDB).Under a high forward gate bias stress,newly increased traps generate both at the SiNx/AlGaN int... We experimentally evaluated the interface state density of GaN MIS-HEMTs during time-dependent dielectric breakdown(TDDB).Under a high forward gate bias stress,newly increased traps generate both at the SiNx/AlGaN interface and the SiNx bulk,resulting in the voltage shift and the increase of the voltage hysteresis.When prolonging the stress duration,the defects density generated in the SiNx dielectric becomes dominating,which drastically increases the gate leakage current and causes the catastrophic failure.After recovery by UV light illumination,the negative shift in threshold voltage(compared with the fresh one)confirms the accumulation of positive charge at the SiNx/AlGaN interface and/or in SiNx bulk,which is possibly ascribed to the broken bonds after long-term stress.These results experimentally confirm the role of defects in the TDDB of GaN-based MIS-HEMTs. 展开更多
关键词 GaN-based MIS-HEMTs gate dielectric time-dependent dielectric breakdown interface states
下载PDF
Excellent-Performance AlGaN/GaN Fin-MOSHEMTs with Self-Aligned Al_2O_3Gate Dielectric
20
作者 谭鑫 周幸叶 +6 位作者 郭红雨 顾国栋 王元刚 宋旭波 尹甲运 吕元杰 冯志红 《Chinese Physics Letters》 SCIE CAS CSCD 2016年第9期124-127,共4页
A1GaN/GaN fin-shaped metal-oxide-semiconductor high-electron-mobility transistors (fin-MOSHEMTs) with dif- ferent fin widths (30Ohm and lOOnm) on sapphire substrates are fabricated and characterized. High-quality ... A1GaN/GaN fin-shaped metal-oxide-semiconductor high-electron-mobility transistors (fin-MOSHEMTs) with dif- ferent fin widths (30Ohm and lOOnm) on sapphire substrates are fabricated and characterized. High-quality self-Migned Al2O3 gate dielectric underneath an 80-nm T-shaped gate is employed by Muminum self-oxidation, which induces 4 orders of magnitude reduction in the gate leakage current. Compared with conventional planar MOSHEMTs, short channel effects of the fabricated fin-MOSHEMTs are significantly suppressed due to the tri- gate structure, and excellent de characteristics are obtained, such as extremely fiat output curves, smaller drain induced barrier lower, smaller subthreshold swing, more positive threshold voltage, higher transconductance and higher breakdown voltage. 展开更多
关键词 AlGaN in HEMT for Excellent-Performance AlGaN/GaN Fin-MOSHEMTs with Self-Aligned Al2O3gate dielectric with gate
下载PDF
上一页 1 2 13 下一页 到第
使用帮助 返回顶部