期刊文献+
共找到166篇文章
< 1 2 9 >
每页显示 20 50 100
Emerging MoS_(2)Wafer-Scale Technique for Integrated Circuits 被引量:3
1
作者 Zimeng Ye Chao Tan +4 位作者 Xiaolei Huang Yi Ouyang Lei Yang Zegao Wang Mingdong Dong 《Nano-Micro Letters》 SCIE EI CAS CSCD 2023年第3期129-170,共42页
As an outstanding representative of layered materials,molybdenum disulfide(MoS_(2))has excellent physical properties,such as high carrier mobility,stability,and abundance on earth.Moreover,its reasonable band gap and ... As an outstanding representative of layered materials,molybdenum disulfide(MoS_(2))has excellent physical properties,such as high carrier mobility,stability,and abundance on earth.Moreover,its reasonable band gap and microelectronic compatible fabrication characteristics makes it the most promising candidate in future advanced integrated circuits such as logical electronics,flexible electronics,and focal-plane photodetector.However,to realize the all-aspects application of MoS_(2),the research on obtaining high-quality and large-area films need to be continuously explored to promote its industrialization.Although the MoS_(2)grain size has already improved from several micrometers to sub-millimeters,the high-quality growth of wafer-scale MoS_(2)is still of great challenge.Herein,this review mainly focuses on the evolution of MoS_(2)by including chemical vapor deposition,metal–organic chemical vapor deposition,physical vapor deposition,and thermal conversion technology methods.The state-of-the-art research on the growth and optimization mechanism,including nucleation,orientation,grain,and defect engineering,is systematically summarized.Then,this review summarizes the wafer-scale application of MoS_(2)in a transistor,inverter,electronics,and photodetectors.Finally,the current challenges and future perspectives are outlined for the wafer-scale growth and application of MoS_(2). 展开更多
关键词 Wafer-scale growth Molybdenum disulfide Gas deposition Integrated circuits
下载PDF
The Roadmap of 2D Materials and Devices Toward Chips
2
作者 Anhan Liu Xiaowei Zhang +16 位作者 Ziyu Liu Yuning Li Xueyang Peng Xin Li Yue Qin Chen Hu Yanqing Qiu Han Jiang Yang Wang Yifan Li Jun Tang Jun Liu Hao Guo Tao Deng Songang Peng He Tian Tian‑Ling Ren 《Nano-Micro Letters》 SCIE EI CAS CSCD 2024年第6期343-438,共96页
Due to the constraints imposed by physical effects and performance degra certain limitations in sustaining the advancement of Moore’s law.Two-dimensional(2D)materials have emerged as highly promising candidates for t... Due to the constraints imposed by physical effects and performance degra certain limitations in sustaining the advancement of Moore’s law.Two-dimensional(2D)materials have emerged as highly promising candidates for the post-Moore era,offering significant potential in domains such as integrated circuits and next-generation computing.Here,in this review,the progress of 2D semiconductors in process engineering and various electronic applications are summarized.A careful introduction of material synthesis,transistor engineering focused on device configuration,dielectric engineering,contact engineering,and material integration are given first.Then 2D transistors for certain electronic applications including digital and analog circuits,heterogeneous integration chips,and sensing circuits are discussed.Moreover,several promising applications(artificial intelligence chips and quantum chips)based on specific mechanism devices are introduced.Finally,the challenges for 2D materials encountered in achieving circuit-level or system-level applications are analyzed,and potential development pathways or roadmaps are further speculated and outlooked. 展开更多
关键词 Two-dimensional materials ROADMAP Integrated circuits Post-Moore era
下载PDF
A High Efficiency Hardware Implementation of S-Boxes Based on Composite Field for Advanced Encryption Standard
3
作者 Yawen Wang Sini Bin +1 位作者 Shikai Zhu Xiaoting Hu 《Journal of Computer and Communications》 2024年第4期228-246,共19页
The SubBytes (S-box) transformation is the most crucial operation in the AES algorithm, significantly impacting the implementation performance of AES chips. To design a high-performance S-box, a segmented optimization... The SubBytes (S-box) transformation is the most crucial operation in the AES algorithm, significantly impacting the implementation performance of AES chips. To design a high-performance S-box, a segmented optimization implementation of the S-box is proposed based on the composite field inverse operation in this paper. This proposed S-box implementation is modeled using Verilog language and synthesized using Design Complier software under the premise of ensuring the correctness of the simulation result. The synthesis results show that, compared to several current S-box implementation schemes, the proposed implementation of the S-box significantly reduces the area overhead and critical path delay, then gets higher hardware efficiency. This provides strong support for realizing efficient and compact S-box ASIC designs. 展开更多
关键词 Advanced Encryption Standard (AES) S-BOX Tower Field Hardware Implementation Application Specific Integration circuit (ASIC)
下载PDF
Through-silicon-via crosstalk model and optimization design for three-dimensional integrated circuits 被引量:3
4
作者 钱利波 朱樟明 +2 位作者 夏银水 丁瑞雪 杨银堂 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第3期591-596,共6页
Through-silicon-via (TSV) to TSV crosstalk noise is one of the key factors affecting the signal integrity of three- dimensional integrated circuits (3D ICs). Based on the frequency dependent equivalent electrical ... Through-silicon-via (TSV) to TSV crosstalk noise is one of the key factors affecting the signal integrity of three- dimensional integrated circuits (3D ICs). Based on the frequency dependent equivalent electrical parameters for the TSV channel, an analytical crosstalk noise model is established to capture the TSV induced crosstalk noise. The impact of various design parameters including insulation dielectric, via pitch, via height, silicon conductivity, and terminal impedance on the crosstalk noise is analyzed with the proposed model. Two approaches are proposed to alleviate the TSV noise, namely, driver sizing and via shielding, and the SPICE results show 241 rnV and 379 mV reductions in the peak noise voltage, respectively. 展开更多
关键词 three-dimensional integrated circuits through-silicon-via crosstalk driver sizing via shielding
下载PDF
High density Al2O3/TaN-based metal-insulatormetal capacitors in application to radio equency integrated circuits 被引量:3
5
作者 丁士进 黄宇健 +3 位作者 黄玥 潘少辉 张卫 汪礼康 《Chinese Physics B》 SCIE EI CAS CSCD 2007年第9期2803-2808,共6页
Metal-insulator-metal (MIM) capacitors with atomic-layer-deposited Al2O3 dielectric and reactively sputtered TaN electrodes in application to radio frequency integrated circuits have been characterized electrically.... Metal-insulator-metal (MIM) capacitors with atomic-layer-deposited Al2O3 dielectric and reactively sputtered TaN electrodes in application to radio frequency integrated circuits have been characterized electrically. The capacitors exhibit a high density of about 6.05 fF/μm^2, a small leakage current of 4.8 × 10^-8 A/cm^2 at 3 V, a high breakdown electric field of 8.61 MV/cm as well as acceptable voltage coefficients of capacitance (VCCs) of 795 ppm/V2 and 268ppm/V at 1 MHz. The observed properties should be attributed to high-quality Al2O3 film and chemically stable TaN electrodes. Further, a logarithmically linear relationship between quadratic VCC and frequency is observed due to the change of relaxation time with carrier mobility in the dielectric. The conduction mechanism in the high field ranges is dominated by the Poole-Frenkel emission, and the leakage current in the low field ranges is likely to be associated with trap-assisted tunnelling. Meanwhile, the Al2O3 dielectric presents charge trapping under low voltage stresses, and defect generation under high voltage stresses, and it has a hard-breakdown performance. 展开更多
关键词 metal-insulator-metal atomic-layer-deposition AL2O3 radio frequency integrated circuit
下载PDF
High performance integrated photonic circuit based on inverse design method 被引量:5
6
作者 Huixin Qi Zhuochen Du +3 位作者 Xiaoyong Hu Jiayu Yang Saisai Chu Qihuang Gong 《Opto-Electronic Advances》 SCIE EI CAS 2022年第10期22-34,共13页
The basic indexes of all-optical integrated photonic circuits include high-density integration,ultrafast response and ultralow energy consumption.Traditional methods mainly adopt conventional micro/nano-structures.The... The basic indexes of all-optical integrated photonic circuits include high-density integration,ultrafast response and ultralow energy consumption.Traditional methods mainly adopt conventional micro/nano-structures.The overall size of the circuit is large,usually reaches hundreds of microns.Besides,it is difficult to balance the ultrafast response and ultra-low energy consumption problem,and the crosstalk between two traditional devices is difficult to overcome.Here,we propose and experimentally demonstrate an approach based on inverse design method to realize a high-density,ultrafast and ultra-low energy consumption integrated photonic circuit with two all-optical switches controlling the input states of an all-optical XOR logic gate.The feature size of the whole circuit is only 2.5μm×7μm,and that of a single device is 2μm×2μm.The distance between two adjacent devices is as small as 1.5μm,within wavelength magnitude scale.Theoretical response time of the circuit is 150 fs,and the threshold energy is within 10 fJ/bit.We have also considered the crosstalk problem.The circuit also realizes a function of identifying two-digit logic signal results.Our work provides a new idea for the design of ultrafast,ultra-low energy consumption all-optical devices and the implementation of high-density photonic integrated circuits. 展开更多
关键词 all-optical integrated photonic circuit inverse design all-optical switch all-optical XOR logic gate
下载PDF
Simulation realization of skip cycle mode integrated control circuit in the switching power supply with low standby loss 被引量:2
7
作者 屈艾文 程东方 冯旭 《Journal of Shanghai University(English Edition)》 CAS 2007年第3期318-322,共5页
This paper explores and proposes a design solution of an integrated skip cycle mode (SCM) control circuit with a simple structure. The design is simulated and implemented with XD10H-1.0μm modular DIMOS 650 V proces... This paper explores and proposes a design solution of an integrated skip cycle mode (SCM) control circuit with a simple structure. The design is simulated and implemented with XD10H-1.0μm modular DIMOS 650 V process. In order to meet the requirement of a wide temperature range and high yields of products, the schematic extracted from the layout is simulated with five process corners at 27℃ and 90℃. Simulation results demonstrate that the proposed integrated circuit is immune to noise and achieves skipping cycle control when switching mode power supply (SMPS) works with low load or without load. 展开更多
关键词 standby loss skip cycle mode (SCM) switching mode power supply (SMPS) integrated control circuit.
下载PDF
Reduction of signal reflection along through silicon via channel in high-speed three-dimensional integration circuit 被引量:1
8
作者 刘晓贤 朱樟明 +2 位作者 杨银堂 王凤娟 丁瑞雪 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第3期583-590,共8页
The through silicon via (TSV) technology has proven to be the critical enabler to realize a three-dimensional (3D) gigscale system with higher performance but shorter interconnect length. However, the received dig... The through silicon via (TSV) technology has proven to be the critical enabler to realize a three-dimensional (3D) gigscale system with higher performance but shorter interconnect length. However, the received digital signal after trans- mission through a TSV channel, composed of redistribution layers (RDLs), TSVs, and bumps, is degraded at a high data-rate due to the non-idealities of the channel. We propose the Chebyshev multisection transformers to reduce the signal reflec- tion of TSV channel when operating frequency goes up to 20 GHz, by which signal reflection coefficient ($11) and signal transmission coefficient ($21) are improved remarkably by 150% and 73.3%, respectively. Both the time delay and power dissipation are also reduced by 4% and 13.3%, respectively. The resistance-inductance-conductance-capacitance (RLGC) elements of the TSV channel are iterated from scattering (S)-parameters, and the proposed method of weakening the signal reflection is verified using high frequency simulator structure (HFSS) simulation software by Ansoft. 展开更多
关键词 three-dimensional integrated circuit through silicon via channel signal reflection S-PARAMETERS
下载PDF
Human blood plasma-based electronic integrated circuit amplifier configuration 被引量:1
9
作者 Shiv Prasad Kosta Manthan Manavadaria +4 位作者 Killol Pandya Yogesh.Prasad Kosta Shakti Kosta Harsh Mehta Jaimin Patel 《The Journal of Biomedical Research》 CAS 2013年第6期520-522,共3页
Dear Editor: There is accumulating evidence that human blood electronic circuit components and their application circuits become more and more important to cyborg implant/engineering, man-machine interface, hu- man ... Dear Editor: There is accumulating evidence that human blood electronic circuit components and their application circuits become more and more important to cyborg implant/engineering, man-machine interface, hu- man disease detection and healing, and artificial brain evolutionusl. Here, we report the first development of human plasma-based amplifier circuit in the dis- crete as well as integrated circuit (IC) configuration mode. Electrolytes in the human blood contain an enormous number of charge carriers such as positive and negative molecule/atom ions, which are electri- cally conducting media and therefore can be utilized for developing electronic circuit components and their application circuits. These electronic circuits obvi- ously have very high application impact potential towards bio-medical engineering and medical science and technology. 展开更多
关键词 IC MHz Human blood plasma-based electronic integrated circuit amplifier configuration CRO over
下载PDF
A 330-500 GHz Zero-Biased Broadband Tripler Based on Terahertz Monolithic Integrated Circuits 被引量:1
10
作者 任田昊 张勇 +4 位作者 延波 徐锐敏 杨成樾 周静涛 金智 《Chinese Physics Letters》 SCIE CAS CSCD 2015年第2期31-34,共4页
A 330-500 GHz zero-biased broadband monolithic integrated tripler is reported. The measured results show that the maximum efficiency and the maximum output power are 2% and 194μW at 348 GHz. The saturation characteri... A 330-500 GHz zero-biased broadband monolithic integrated tripler is reported. The measured results show that the maximum efficiency and the maximum output power are 2% and 194μW at 348 GHz. The saturation characteristic test shows that the output i dB compression point is about -8.5 dBm at 334 GHz and the maximum efficiency is obtained at the point, which is slightly below the 1 dB compression point. Compared with the conventional hybrid integrated circuit, a major advantage of the monolithic integrated circuit is the significant improvement of reliability and consistency. In this work, a terahertz monolithic frequency multiplier at this band is designed and fabricated. 展开更多
关键词 InP InGaAs A 330-500 GHz Zero-Biased Broadband Tripler Based on Terahertz Monolithic Integrated circuits dBm SBD
下载PDF
Concise Modeling of Amorphous Dual-Gate In-Ga-Zn-O Thin-Film Transistors for Integrated Circuit Designs 被引量:1
11
作者 李璨 廖聪维 +3 位作者 于天宝 柯建源 黄生祥 邓联文 《Chinese Physics Letters》 SCIE CAS CSCD 2018年第2期93-96,共4页
An analytical model for current-voltage behavior of amorphous In-Ga-Zn-O thin-film transistors(a-IGZO TFTs)with dual-gate structures is developed.The unified expressions for synchronous and asynchronous operating mo... An analytical model for current-voltage behavior of amorphous In-Ga-Zn-O thin-film transistors(a-IGZO TFTs)with dual-gate structures is developed.The unified expressions for synchronous and asynchronous operating modes are derived on the basis of channel charges,which are controlled by gate voltage.It is proven that the threshold voltage of asynchronous dual-gate IGZO TFTs is adjusted in proportion to the ratio of top insulating capacitance to the bottom insulating capacitance(C_(TI)/C_(BI)).Incorporating the proposed model with Verilog-A,a touch-sensing circuit using dual-gate structure is investigated by SPICE simulations.Comparison shows that the touch sensitivity is increased by the dual-gate IGZO TFT structure. 展开更多
关键词 TFT Concise Modeling of Amorphous Dual-Gate In-Ga-Zn-O Thin-Film Transistors for Integrated circuit Designs Zn
下载PDF
Influence of Tilted Angle on Effective Linear Energy Transfer in Single Event Effect Tests for Integrated Circuits at 130 nm Technology Node 被引量:1
12
作者 张乐情 卢健 +5 位作者 胥佳灵 刘小年 戴丽华 徐依然 毕大炜 张正选 《Chinese Physics Letters》 SCIE CAS CSCD 2017年第11期119-122,共4页
A heavy-ion irradiation experiment is studied in digital storage cells with different design approaches in 130?nm CMOS bulk Si and silicon-on-insulator (SOI) technologies. The effectiveness of linear energy transf... A heavy-ion irradiation experiment is studied in digital storage cells with different design approaches in 130?nm CMOS bulk Si and silicon-on-insulator (SOI) technologies. The effectiveness of linear energy transfer (LET) with a tilted ion beam at the 130?nm technology node is obtained. Tests of tilted angles θ=0 ° , 30 ° and 60 ° with respect to the normal direction are performed under heavy-ion Kr with certain power whose LET is about 40?MeVcm 2 /mg at normal incidence. Error numbers in D flip-flop chains are used to determine their upset sensitivity at different incidence angles. It is indicated that the effective LETs for SOI and bulk Si are not exactly in inverse proportion to cosθ , furthermore the effective LET for SOI is more closely in inverse proportion to cosθ compared to bulk Si, which are also the well known behavior. It is interesting that, if we design the sample in the dual interlocked storage cell approach, the effective LET in bulk Si will look like inversely proportional to cosθ very well, which is also specifically explained. 展开更多
关键词 SOI Influence of Tilted Angle on Effective Linear Energy Transfer in Single Event Effect Tests for Integrated circuits at 130 nm Tec
下载PDF
Hybrid material integration in silicon photonic integrated circuits
13
作者 Swapnajit Chakravarty† Min Teng +1 位作者 Reza Safian Leimeng Zhuang 《Journal of Semiconductors》 EI CAS CSCD 2021年第4期33-42,共10页
Hybrid integration ofⅢ-Ⅴand ferroelectric materials is being broadly adopted to enhance functionalities in silicon photonic integrated circuits(PICs).Bonding and transfer printing have been the popular approaches fo... Hybrid integration ofⅢ-Ⅴand ferroelectric materials is being broadly adopted to enhance functionalities in silicon photonic integrated circuits(PICs).Bonding and transfer printing have been the popular approaches for integration of III–V gain media with silicon PICs.Similar approaches are also being considered for ferroelectrics to enable larger RF modulation bandwidths,higher linearity,lower optical loss integrated optical modulators on chip.In this paper,we review existing integration strategies ofⅢ-Ⅴmaterials and present a route towards hybrid integration of bothⅢ-Ⅴand ferroelectrics on the same chip.We show that adiabatic transformation of the optical mode between hybrid ferroelectric and silicon sections enables efficient transfer of optical modal energies for maximum overlap of the optical mode with the ferroelectric media,similar to approaches adopted to maximize optical overlap with the gain section,thereby reducing lasing thresholds for hybridⅢ-Ⅴintegration with silicon PICs.Preliminary designs are presented to enable a foundry compatible hybrid integration route of diverse functionalities on silicon PICs. 展开更多
关键词 CMOS technology photonic integrated circuits hybrid integration ferroelectric modulator
下载PDF
Device Physics Research for Submicron and Deep Submicron Space Microelectronics Devices and Integrated Circuits
14
作者 Huang Chang, Yang Yinghua, Yu Shan, Zhang Xing, Xu Jun, Lu Quan, Chen Da 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 1992年第4期3-4,6-2,共4页
Device physics research for submicron and deep submicron space microelectronics devices and integrated circuits will be described in three topics.1.Thin film submicron and deep submicron SOS / CMOS devices and integra... Device physics research for submicron and deep submicron space microelectronics devices and integrated circuits will be described in three topics.1.Thin film submicron and deep submicron SOS / CMOS devices and integrated circuits.2.Deep submicron LDD CMOS devices and integrated circuits.3.C band and Ku band microwave GaAs MESFET and III-V compound hetrojunction HEM T and HBT devices and integrated circuits. 展开更多
关键词 GaAs MESFET CMOS Device Physics Research for Submicron and Deep Submicron Space Microelectronics Devices and Integrated circuits MOSFET length
下载PDF
Digital synthesis of programmable photonic integrated circuits
15
作者 张娟 计正勇 +1 位作者 丁一鹏 王阳 《Chinese Physics B》 SCIE EI CAS CSCD 2022年第2期355-365,共11页
Programmable photonic waveguide meshes can be programmed into many different circuit topologies and thereby provide a variety of functions.Due to the complexity of the signal routing in a general mesh,a particular syn... Programmable photonic waveguide meshes can be programmed into many different circuit topologies and thereby provide a variety of functions.Due to the complexity of the signal routing in a general mesh,a particular synthesis algorithm often only accounts for a specific function with a specific cell configuration.In this paper,we try to synthesize the programmable waveguide mesh to support multiple configurations with a more general digital signal processing platform.To show the feasibility of this technique,photonic waveguide meshes in different configurations(square,triangular and hexagonal meshes)are designed to realize optical signal interleaving with arbitrary duty cycles.The digital signal processing(DSP)approach offers an effective pathway for the establishment of a general design platform for the software-defined programmable photonic integrated circuits.The use of well-developed DSP techniques and algorithms establishes a link between optical and electrical signals and makes it convenient to realize the computer-aided design of optics–electronics hybrid systems. 展开更多
关键词 photonic integrated circuit digital signal processing Z-TRANSFORM
下载PDF
Development of 0.50μm CMOS Integrated Circuits Technology
16
作者 Yu Shan, Zhang Dingkang and Huang Chang 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 1992年第4期7-10,2,共5页
Submicron CMOS IC technology, including triple layer resist lithography technology, RIE, LDD, Titanium Salicide, shallow junction, thin gate oxide, no bird's beak isolation and channel's multiple implantation ... Submicron CMOS IC technology, including triple layer resist lithography technology, RIE, LDD, Titanium Salicide, shallow junction, thin gate oxide, no bird's beak isolation and channel's multiple implantation doping technology have been developed. 0.50μm. CMOS integrated circuits have been fabricated using this submicron CMOS process. 展开更多
关键词 In m CMOS Integrated circuits Technology Development of 0.50 CMOS
下载PDF
Design of Multi-Valued Logic Circuit Using Carbon Nano Tube Field Transistors
17
作者 S.V.Ratankumar L.Koteswara Rao M.Kiran Kumar 《Computers, Materials & Continua》 SCIE EI 2022年第12期5283-5298,共16页
The design of a three-input logic circuit using carbon nanotube field effect transistors(CNTFETs)is presented.Ternary logic must be an exact replacement for dual logic since it performs straightforwardly in digital de... The design of a three-input logic circuit using carbon nanotube field effect transistors(CNTFETs)is presented.Ternary logic must be an exact replacement for dual logic since it performs straightforwardly in digital devices,which is why this design is so popular,and it also reduces chip area,both of which are examples of circuit overheads.The proposed module we have investigated is a triple-logic-based one,based on advanced technology CNTFETs and an emphasis on minimizing delay times at various values,as well as comparisons of the design working with various load capacitances.Comparing the proposed design with the existing design,the delay times was reduced from 66.32 to 16.41 ps,i.e.,a 75.26%reduction.However,the power dissipation was not optimized,and increased by 1.44%compared to the existing adder.The number of transistors was also reduced,and the product of power and delay(P∗D)achieved a value of 0.0498053 fJ.An improvement at 1 V was also achieved.A load capacitance(fF)was measured at different values,and the average delay measured for different values of capacitance had a maximum of 83.60 ps and a minimum of 22.54 ps,with a range of 61.06 ps.The power dissipations ranged from a minimum of 3.38μW to a maximum of 6.49μW.Based on these results,the use of this CNTFET half-adder design in multiple Boolean circuits will be a useful addition to circuit design. 展开更多
关键词 Carbon nanotube field effect transistor(CNTFET) multivalued logic(MVL) ternary adder Hewlett simulation program with integrated circuit emphasis(HSPICE) chirality(nm) ADDER
下载PDF
Development of Physical Library for Short Channel CMOS / SOI Integrated Circuits
18
作者 Zhang Xing, Lu Quan, Shi Yongguan, Yang Yinghua, Huang Chang 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 1992年第4期16-18,2-6,共5页
An 'Integrated Device and Circuit simulator' for thin film (0.05-0.2μm) submicron (0.5μm) and deep submicron (0.15, 0.25,0.35μm) CMOS/ SOI integrated circuit has been developed. This simulator has been used... An 'Integrated Device and Circuit simulator' for thin film (0.05-0.2μm) submicron (0.5μm) and deep submicron (0.15, 0.25,0.35μm) CMOS/ SOI integrated circuit has been developed. This simulator has been used for design and fabrication and physical library development of thin film submicron and deep submicron CMOS/ SOI integrated circuit. 展开更多
关键词 Development of Physical Library for Short Channel CMOS In SOI Integrated circuits
下载PDF
Microwave Integrated Circuit Design Handbook
19
作者 顾墨琳 《微波学报》 1987年第3期43-43,共1页
作者:Reinmut K.Hoffmann(1984 IEEE微波奖获得者) 出版:Artech House公司(美国)1987年本书给出适于微波工程师和研究人员应用的有关MIC方面的基础技术、电性能和设计。侧重于电性能分析和设计,强调应用。对于每一种设计技术和应用均作... 作者:Reinmut K.Hoffmann(1984 IEEE微波奖获得者) 出版:Artech House公司(美国)1987年本书给出适于微波工程师和研究人员应用的有关MIC方面的基础技术、电性能和设计。侧重于电性能分析和设计,强调应用。对于每一种设计技术和应用均作出较清楚的叙述和完整的处理。本书尽量给出电路的物理描述,避免过于冗长的数学公式,内容包含对下列议题的详细评述与研讨: 展开更多
关键词 微带传输线 微带线 Microwave Integrated circuit Design Handbook
下载PDF
ESD Protection Design and Characteristic Analysis of Advanced Process Integrated Circuit
20
作者 Mao Fan 《电气工程与自动化(中英文版)》 2020年第1期1-3,共3页
The electrostatic discharge(ESD)phenomenon is very common,in daily life,many places will appear ESD phenomenon.However,ESD is a potential hazard for integrated circuits.This paper analyzes the ESD protection design an... The electrostatic discharge(ESD)phenomenon is very common,in daily life,many places will appear ESD phenomenon.However,ESD is a potential hazard for integrated circuits.This paper analyzes the ESD protection design and characteristics of advanced process integrated circuits,and puts forward personal views combined with experience,hoping to bring help to the people who pay attention to the ESD protection of integrated circuits. 展开更多
关键词 Electrostatic Protection Integrated circuit Failure Analysis
下载PDF
上一页 1 2 9 下一页 到第
使用帮助 返回顶部