期刊文献+
共找到12篇文章
< 1 >
每页显示 20 50 100
ON SHORTENING TEST SEQUENCE LENGTH FOR SIGNATURE ANALYZER
1
作者 丁瑾 胡健栋 《Journal of Electronics(China)》 1995年第2期151-159,共9页
Based on the built-in self-test for logic circuit, a new approach is proposed to reduce pseudorandom test length. After finding worst faults in the circuit and creating their circuit models the output signals of these... Based on the built-in self-test for logic circuit, a new approach is proposed to reduce pseudorandom test length. After finding worst faults in the circuit and creating their circuit models the output signals of these models will be compressed by linear feedback shift register. The test length for the worst faults can be obtained by analyzing compressed signature . Finally, using the relation between input probability and test length, we propose a new algorithm to shorten the test sequence length. So the optimum input probability and the shortest test length can be received. 展开更多
关键词 built-in self-test Worst FAULT SIGNATURE analysis Probability optimization
下载PDF
A DFT Method for Single-Control Testability of RTL Data Paths for BIST
2
作者 Toshimitsu Masuzawa Minoru lzutsu +1 位作者 Hiroki Wada Hideo Fujiwara 《湖南大学学报(自然科学版)》 EI CAS CSCD 2000年第S2期52-60,共9页
This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary ... This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary inputs and test patterns are propagated to and fed into each module. Test responses are similarly propagated to response analyzers placed only on primary outputs. For the propagation of test patterns and test responses paths existing in the data path are utilized. The DFT method for the single-control testability is also proposed. The advantages of the proposed method are high fault coverage (for single Stuck-at faults), low hardware overhead and capability of at-speed test. Moreover, test patterns generated by test pattern generators can be fed into each module at consecutive system clocks, and thus, the BIST can also detect some faults of other fault models (e.g., transition faults and delay faults) that require consecutive application of test patterns at speed of system clock. 展开更多
关键词 built-in self-test design for testability RTL data path hierarchical test
下载PDF
Low Cost BIST Scheme Using LFSR-RC Reseeding
3
作者 Bin Zhou Mingxue Huo Xinchun Wu 《Journal of Harbin Institute of Technology(New Series)》 EI CAS 2015年第3期57-62,共6页
A novel BIST scheme for reducing the test storage( TS) is presented. The proposed approach relies on a two-dimensional compression scheme,which combines the advantages of the previous LFSR reseeding scheme and test se... A novel BIST scheme for reducing the test storage( TS) is presented. The proposed approach relies on a two-dimensional compression scheme,which combines the advantages of the previous LFSR reseeding scheme and test set embedding technique based on ring counters( RCs) to improve the encoding efficiency. It presents a general method to determine the probability of encoding as a function of the number of specified bits in the test cube,the length of the LFSR and the width of the test set,and conclude that the probability of encoding a n-bit test cube with s specified bits using a( smax+ 1 + 20 / n)-stage LFSR with a fixed polynomial is1- 10-6. Experimental results for the ISCAS '89 benchmark circuits show that compared with the previous schemes,the proposed scheme based on LFSR-RC reseeding requires 57% less TS and 99. 1% test application time( TAT) with simple and uniform BIST control logic. 展开更多
关键词 built-in self-test linear feedback shift register(LFSR) ring counters(RCs) test compression
下载PDF
AVAILABILITY MODEL FOR SELF TEST AND REPAIR IN FAULT TOLERANT FPGA-BASED SYSTEMS
4
作者 Shampa Chakraverty Anubhav Agarwal +1 位作者 Broteen Kundu Anil Kumar 《Journal of Electronics(China)》 2014年第4期271-283,共13页
Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) based electronic systems on board mission-critical systems are highly susceptible to radiation induced hazards that may lead to faults in the logic or ... Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) based electronic systems on board mission-critical systems are highly susceptible to radiation induced hazards that may lead to faults in the logic or in the configuration memory. The aim of our research is to characterize self-test and repair processes in Fault Tolerant(FT) dr-FPGA systems in the presence of environmental faults and explore their interrelationships. We develop a Continuous Time Markov Chain(CTMC) model that captures the high level fail-repair processes on a dr-FPGA with periodic online Built-In Self-Test(BIST) and scrubbing to detect and repair faults with minimum latency. Simulation results reveal that given an average fault interval of 36 s, an optimum self-test interval of 48.3 s drives the system to spend 13% of its time in self-tests, remain in safe working states for 76% of its time and face risky fault-prone states for only 7% of its time. Further, we demonstrate that a well-tuned repair strategy boosts overall system availability, minimizes the occurrence of unsafe states, and accommodates a larger range of fault rates within which the system availability remains stable within 10% of its maximum level. 展开更多
关键词 Dynamically reconfigurable Field Programmable Gate Array(dr-FPGA) built-in self-test(BIST) Fault Tolerance(FT) Single Event Effects(SEEs) Continuous Time Markov Chain(CTMC) SCRUBBING
下载PDF
Testable Design and BIST Techniques for Systolic Motion Estimators in Transform Domain
5
作者 Shyue-Kung Lu Wei-Yuan Liu 《Journal of Electronic Science and Technology of China》 2009年第4期291-296,共6页
Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of pr... Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of processing elements (PEs) and multiplying elements (MULs). The functions of each PE and MUL are modified to be bijective to meet the M-testable conditions. The number of test patterns is 2^w, where w denotes the word length of a PE. The proposed testable design techniques are also suitable for built-in self-test implementation. According to experimental results, our approaches can achieve 99.27 % fault coverage. The area overhead is about 9 %. To verify our approaches, an experimental chip is also implemented. 展开更多
关键词 built-in self-test design for testability fault coverage motion estimator.
下载PDF
A Novel BIST Approach for Testing Input/Output Buffers in SoCs
6
作者 Lei Chen Zhi-Ping Wen Zhi-Quan Zhang Min Wang 《Journal of Electronic Science and Technology of China》 2009年第4期322-325,共4页
A novel built-in self-test (BIST) approach to test the configurable input/output buffers in Xilinx Virtex series SoCs (system on a chip) using hard macro has been proposed in this paper. The proposed approach can ... A novel built-in self-test (BIST) approach to test the configurable input/output buffers in Xilinx Virtex series SoCs (system on a chip) using hard macro has been proposed in this paper. The proposed approach can completely detect single and multiple stuck-at gate-level faults as well as associated routing resources in I/O buffers. The proposed BIST architecture has been implemented and verified on Xilinx Virtex series FPGAs (field programmable gate configurations are required array). Only total of 10 to completely test the I/O buffers of Virtex devices. 展开更多
关键词 built-in self-test FPGA I/O buffers SoCs testing.
下载PDF
On the Input Probability for Built-in Test
7
作者 DingJin HuJiandong 《The Journal of China Universities of Posts and Telecommunications》 EI CSCD 1994年第2期30-35,共6页
in this paper ,based on the butlt-in self-test technique to logic circuil ,a nme approach is pro-posed to optimize input probability of digital circuit. After the worst faults are found and their circuitmodel are crea... in this paper ,based on the butlt-in self-test technique to logic circuil ,a nme approach is pro-posed to optimize input probability of digital circuit. After the worst faults are found and their circuitmodel are created the output signals of these models will be compressed by “1” counter. Fault detectprobabilities for the worst faults can be obtained by analyzing compact data. Finally, using the relationbetween the input probability and the fault detect probabilily ,we propose a new algorithm to optimzethe primary input probainlily of the circuit. So the shortest test length can he received. 展开更多
关键词 built-in self-test fault detect signal compaction probabilily optimum
原文传递
A Loop-Based Apparatus for At-Speed Self-Testing
8
作者 李晓维 张英相 《Journal of Computer Science & Technology》 SCIE EI CSCD 2001年第3期278-285,共8页
At-speed testing using external tester requires an expensive equipment, thus built-in self-test (BIST) is an alternative technique due to its ability to perform on-chip at-speed self-testing. The main issue in BIST f... At-speed testing using external tester requires an expensive equipment, thus built-in self-test (BIST) is an alternative technique due to its ability to perform on-chip at-speed self-testing. The main issue in BIST for at-speed testing is to obtain high delay fault coverage with a low hardware overhead. This paper presents an improved loop-based BIST scheme, in which a configurable MISR (multiple-input signature register) is used to generate test-pair sequences. The structure and operation modes of the BIST scheme are described. The topological properties of the state-transit ion- graph of t he proposed B IS T scheme are analyzed. B ased on it, an approach to design and efficiently implement the proposed BIST scheme is developed. Experimental results on academic benchmark circuits are presented to demonstrate the effectiveness of the proposed BIST scheme as well as the design approach. 展开更多
关键词 built-in self-test at-speed test multiple input shift register state transition graph
原文传递
Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor 被引量:1
9
作者 王达 胡瑜 +1 位作者 李华伟 李晓维 《Journal of Computer Science & Technology》 SCIE EI CSCD 2008年第6期1037-1046,共10页
This paper describes the design-for-testability (DFT) features and low-cost testing solutions of a general purpose microprocessor. The optimized DFT features are presented in detail. A hybrid scan compression struct... This paper describes the design-for-testability (DFT) features and low-cost testing solutions of a general purpose microprocessor. The optimized DFT features are presented in detail. A hybrid scan compression structure was executed and achieved compression ratio more than ten times. Memory built-in self-test (BIST) circuitries were designed with scan collars instead of bitmaps to reduce area overheads and to improve test and debug efficiency. The implemented DFT framework also utilized internal phase-locked loops (PLL) to provide complex at-speed test clock sequences. Since there are still limitations in this DFT design, the test strategies for this case are quite complex, with complicated automatic test pattern generation (ATPG) and debugging flow. The sample testing results are given in the paper. All the DFT methods discussed in the paper are prototypes for a high-volume manufacturing (HVM) DFT plan to meet high quality test goals as well as slow test power consumption and cost. 展开更多
关键词 microprocessor design-for-testability test generation built-in self-test at-speed testing
原文传递
High Level Synthesis for Loop-Based BIST 被引量:1
10
作者 李晓维 张英相 《Journal of Computer Science & Technology》 SCIE EI CSCD 2000年第4期338-345,共8页
Area and test time are two major overheads encountered duringdata path high level synthesis for BIST. This paper presents an approach to behavioral synthesis for loop-based BIST. By taking into account the requirement... Area and test time are two major overheads encountered duringdata path high level synthesis for BIST. This paper presents an approach to behavioral synthesis for loop-based BIST. By taking into account the requirements of theBIST scheme during behavioral synthesis processes, an area optimal BIST solutioncan be obtained. This approach is based on the use of test resources reusabilitythat results in a fewer number of registers being modified to be test registers. Thisis achieved by incorporating self-testability constraints during register assignmentoperations. Experimental results on benchmarks are presented to demonstrate theeffectiveness of the approach. 展开更多
关键词 built-in self-test (BIST) at-speed testing high-level synthesis data path
原文传递
Reducing test-data volume and test-power simultaneously in LFSR reseeding-based compression environment
11
作者 王伟征 邝继顺 +1 位作者 尤志强 刘鹏 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2011年第7期115-121,共7页
This paper presents a new test scheme based on scan block encoding in a linear feedback shift register (LFSR) reseeding-based compression environment.Meanwhile,our paper also introduces a novel algorithm of scan-blo... This paper presents a new test scheme based on scan block encoding in a linear feedback shift register (LFSR) reseeding-based compression environment.Meanwhile,our paper also introduces a novel algorithm of scan-block clustering.The main contribution of this paper is a flexible test-application framework that achieves significant reductions in switching activity during scan shift and the number of specified bits that need to be generated via LFSR reseeding.Thus,it can significantly reduce the test power and test data volume.Experimental results using Mintest test set on the larger ISCAS'89 benchmarks show that the proposed method reduces the switching activity significantly by 72%-94%and provides a best possible test compression of 74%-94%with little hardware overhead. 展开更多
关键词 built-in self-test LFSR reseeding test power test compression scan block
原文传递
Exploiting Deterministic TPG for Path Delay Testing
12
作者 李晓维 PaulY.S.Cheung 《Journal of Computer Science & Technology》 SCIE EI CSCD 2000年第5期472-479,共8页
Detection of path delay faults requires two-pattern tests. BIST technique provides a low-cost test solution. This paper proposes an approach to designing a cost-effective deterministic test pattern generator (TPG) for... Detection of path delay faults requires two-pattern tests. BIST technique provides a low-cost test solution. This paper proposes an approach to designing a cost-effective deterministic test pattern generator (TPG) for path delay testing. Given a set of pre-generated test-pairs with pre-determined fault coverage, a deterministic TPG is synthesized to apply the given test-pair set in a limited test time. To achieve this objective, configurable linear feedback shift register (LFSR) structures are used. Techniques are developed to synthesize such a TPG, which is used to generate an unordered deterministic test-pair set. The resulting TPG is very efficient in terms of hardware size and speed performance. Simulation of academic benchmark circuits has given good results when compared to alternative solutions. 展开更多
关键词 built-in self-test (BIST) path delay testing deterministic TPG configurable LFSR
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部