期刊文献+
共找到5,052篇文章
< 1 2 250 >
每页显示 20 50 100
Electrolyte Design for Low‑Temperature Li‑Metal Batteries:Challenges and Prospects 被引量:1
1
作者 Siyu Sun Kehan Wang +3 位作者 Zhanglian Hong Mingjia Zhi Kai Zhang Jijian Xu 《Nano-Micro Letters》 SCIE EI CAS CSCD 2024年第2期365-382,共18页
Electrolyte design holds the greatest opportunity for the development of batteries that are capable of sub-zero temperature operation.To get the most energy storage out of the battery at low temperatures,improvements ... Electrolyte design holds the greatest opportunity for the development of batteries that are capable of sub-zero temperature operation.To get the most energy storage out of the battery at low temperatures,improvements in electrolyte chemistry need to be coupled with optimized electrode materials and tailored electrolyte/electrode interphases.Herein,this review critically outlines electrolytes’limiting factors,including reduced ionic conductivity,large de-solvation energy,sluggish charge transfer,and slow Li-ion transportation across the electrolyte/electrode interphases,which affect the low-temperature performance of Li-metal batteries.Detailed theoretical derivations that explain the explicit influence of temperature on battery performance are presented to deepen understanding.Emerging improvement strategies from the aspects of electrolyte design and electrolyte/electrode interphase engineering are summarized and rigorously compared.Perspectives on future research are proposed to guide the ongoing exploration for better low-temperature Li-metal batteries. 展开更多
关键词 Solid electrolyte interphase Li metal low temperature Electrolyte design BATTERIES
下载PDF
Approaches of Landscape Design for the Construction of Low-carbon Ecological City 被引量:1
2
作者 王丁冉 《Journal of Landscape Research》 2010年第8期35-40,共6页
The prominent role and remarkable achievements of landscape design in the construction of low-carbon ecological city were analyzed in the context of global environmental issues. This study stressed that landscape arch... The prominent role and remarkable achievements of landscape design in the construction of low-carbon ecological city were analyzed in the context of global environmental issues. This study stressed that landscape architecture, as a comprehensive discipline handling human-land relationship, would play a significant role in the construction of low-carbon ecological city, and finally proposed a variety of approaches of landscape design for constructing low-carbon ecological city. 展开更多
关键词 low carbon ECOLOGY SUSTAINABLE development URBAN CONSTRUCTION LANDSCAPE design
下载PDF
Low-carbon Living Environment Design 被引量:4
3
作者 韦宇航 《Journal of Landscape Research》 2012年第1期49-51,共3页
Based on the review of the influence of human activity on global environment,and recognition of current climate warming,it is considered that human activity is the primary reason and climate change has caused serious ... Based on the review of the influence of human activity on global environment,and recognition of current climate warming,it is considered that human activity is the primary reason and climate change has caused serious global environmental problems and threatened human's survival and development.After the introduction of low carbon and conclusion of the main contents and development of researches,it has emphasized that low-carbon urban living mode is an important component of low-carbon urban planning.In view of the situation of China,the main content of low-carbon urban living mode has been illustrated from the perspective of low-carbon life behavior,low-carbon life consumption and carbon budget life mode.On this basis,the purpose and significance of low-carbon living environment design,design principles and requirements,low-carbon life measures have been analyzed. 展开更多
关键词 low-carbon LIFE LIVING environment design "People orientation" CARBON EMISSION
下载PDF
Overall Design of Low-carbon Campus Landscapes 被引量:1
4
作者 陈波 《Journal of Landscape Research》 2012年第4期65-67,共3页
In view of the global warming and the significant role of campus environment in a city, the traditional "high-carbon" urban development pattern has to be improved through exploring a low-carbon urban plannin... In view of the global warming and the significant role of campus environment in a city, the traditional "high-carbon" urban development pattern has to be improved through exploring a low-carbon urban planning and sustainable development mode. This study focused on the overall design of low-carbon campus by elaborating the concept of overall campus design, campus planning, functional layout, landscape and architectural design, traffic organization, energy conservation and expansion of carbon sink. 展开更多
关键词 low-carbon CONCEPT CAMPUS Overall design
下载PDF
Study on Low-carbon Landscape Design of Residential Areas
5
作者 张成 曹加杰 《Journal of Landscape Research》 2010年第8期32-34,40,共4页
With landscape design of residential areas as the study object, by combining with the "low energy consumption, low pollution and low emission" principle of low-carbon economy, this paper tries to analyze the... With landscape design of residential areas as the study object, by combining with the "low energy consumption, low pollution and low emission" principle of low-carbon economy, this paper tries to analyze the relatively systematic low-carbon landscape designs of modern residential areas. 展开更多
关键词 low-carbon ECONOMY LANDSCAPE design RESIDENTIAL area
下载PDF
Research on Products Design of Low-carbon Tourism Development in Nature Reserve——A Case Study of Guangxi Longhu Mountain Scenic Area in China
6
作者 廖钟迪 《Journal of Landscape Research》 2011年第4期65-67,75,共4页
After analyzing three misunderstandings about eco-tourism development,the paper pointed out that low-carbon tourism based on control of carbon emission had larger implementation space,which could be applied in all typ... After analyzing three misunderstandings about eco-tourism development,the paper pointed out that low-carbon tourism based on control of carbon emission had larger implementation space,which could be applied in all types of tourist destinations,with strong operability and the effectiveness evaluation of which was easier to be quantized.On this basis,low-carbon development thought of nature reserve was proposed by taking Guangxi Longhu Mountain Nature Reserve as the example.Through introduction of general situation of low-carbon tourist resources in Longhu Mountain,it analyzed necessity to design low-carbon tourist products in Longhu Mountain Nature Reserve,designed low-carbon tourist activities according to resources characteristics,and proposed five distinctive low-carbon tour routes in light with different tourists markets.Finally,some relevant suggestions on development had been put forward. 展开更多
关键词 Longhu MOUNTAIN Nature RESERVE low-carbon TOURISM PRODUCTS design
下载PDF
Lightweight Design of Automobile Drive Shaft Based on the Characteristics of Low Amplitude Load Strengthening 被引量:17
7
作者 ZHENG Songlin XU Honghui +3 位作者 FENG Jinzhi ZHENG Zuanxi WANG Youtao LU Leilei 《Chinese Journal of Mechanical Engineering》 SCIE EI CAS CSCD 2011年第6期1111-1115,共5页
There are two kinds of internationally recognized approaches in terms of lightweight design.One is based on fatigue accumulated damage theory to achieve better reliability by optimal structural design; another is to u... There are two kinds of internationally recognized approaches in terms of lightweight design.One is based on fatigue accumulated damage theory to achieve better reliability by optimal structural design; another is to use high performance lightweight materials.The former method takes very few considerations on the structural strengthening effects caused by the massive small loads in service.In order to ensure safety,the design is usually conservative,but the strength potential of the component is not fully exerted.In the latter method,cost is the biggest obstacle to lightweight materials in automotive applications.For the purpose of light weighting design on a fuel cell vehicle,the new design method is applied on drive shafts.The method is based on the low amplitude load strengthening characteristics of the material,and allows the stress,corresponding to test load,to enter into the strengthened range of the material.Under this condition,the light weighting design should assure that the reliability of the shaft is not impaired,even maximizes the strength potential of machine part in order to achieve the weight reduction and eventually to reduce the cost.At last,the feasibility of the design is verified by means of strength analysis and modal analysis based on the CAD model of light weighted shaft.The design applies to the load case of half shaft in independent axle,also provides technological reference for the structural lightweight design of vehicles and other machineries. 展开更多
关键词 fuel cell vehicle drive shaft low amplitude load strengthening lightweight design
下载PDF
The Lightweight Design of Low RCS Pylon Based on Structural Bionics 被引量:10
8
作者 Hongjie Jiao,Yidu Zhang,Wuyi Chen Mechanical Engineering Design Centre,Beihang University,Beijing 100191,P.R.China 《Journal of Bionic Engineering》 SCIE EI CSCD 2010年第2期182-190,共9页
A concept of Specific Structure Efficiency (SSE) was proposed that can be used in the lightweight effect evaluation ofstructures.The main procedures of bionic structure design were introduced systematically.The parame... A concept of Specific Structure Efficiency (SSE) was proposed that can be used in the lightweight effect evaluation ofstructures.The main procedures of bionic structure design were introduced systematically.The parameter relationship betweenhollow stem of plant and the minimum weight was deduced in detail.In order to improve SSE of pylons, the structural characteristicsof hollow stem were investigated and extracted.Bionic pylon was designed based on analogous biological structuralcharacteristics.Using finite element method based simulation, the displacements and stresses in the bionic pylon were comparedwith those of the conventional pylon.Results show that the SSE of bionic pylon is improved obviously.Static, dynamic andelectromagnetism tests were carried out on conventional and bionic pylons.The weight, stress, displacement and Radar CrossSection (RCS) of both pylons were measured.Experimental results illustrate that the SSE of bionic pylon is markedly improvedthat specific strength efficiency and specific stiffness efficiency of bionic pylon are increased by 52.9% and 43.6% respectively.The RCS of bionic pylon is reduced significantly. 展开更多
关键词 lightweight design specific structure efficiency low RCS pylon bionic structure
下载PDF
Design of multi-layered porous fibrous metals for optimal sound absorption in the low frequency range 被引量:3
9
作者 Wenjiong Chen Shutian Liu +1 位作者 Liyong Tong Sheng Li 《Theoretical & Applied Mechanics Letters》 CAS CSCD 2016年第1期42-48,共7页
We present a design method for calculating and optimizing sound absorption coefficient of multi-layered porous fibrous metals (PFM) in the low frequency range. PFM is simplified as an equivalent idealized sheet with... We present a design method for calculating and optimizing sound absorption coefficient of multi-layered porous fibrous metals (PFM) in the low frequency range. PFM is simplified as an equivalent idealized sheet with all metallic fibers aligned in one direction and distributed in periodic hexagonal patterns. We use a phenomenological model in the literature to investigate the effects of pore geometrical parameters (fiber diameter and gap) on sound absorption performance. The sound absorption coefficient of multi- layered PFMs is calculated using impedance translation theorem, To demonstrate the validity of the present model, we compare the predicted results with the experimental data. With the average sound absorption (low frequency range) as the objective function and the fiber gaps as the design variables, an optimization method for multi-layered fibrous metals is proposed. A new fibrous layout with given porosity of multi-layered fibrous metals is suggested to achieve optimal low frequency sound absorption. The sound absorption coefficient of the optimal multi-layered fibrous metal is higher than the single- layered fibrous metal, and a significant effect of the fibrous material on sound absorption is found due to the surface Dorosity of the multi-layered fibrous. 展开更多
关键词 Porous fibrous metal MULTI-LAYER low frequency Acoustic model design optimization
下载PDF
Design of low-energy building and energy consumption analyses 被引量:1
10
作者 刘鸣 陈滨 +2 位作者 范悦 朱佳音 索健 《Journal of Central South University》 SCIE EI CAS 2009年第S1期239-243,共5页
In China,a new "Design standard for energy efficiency of residential buildings (for cold region)" was introduced in 2006. In this new standard,more high level insulation of the building envelope is required,... In China,a new "Design standard for energy efficiency of residential buildings (for cold region)" was introduced in 2006. In this new standard,more high level insulation of the building envelope is required,yearly energy requirement for heating must be less than 55 kWh/(m2·a)(regarded as a low-energy house). The new attempt was carried out in the process of architecture design with an evaluation on energy consumption of the building. The design plan was brought forward and compared. PHPP software from German was applied to calculate energy consumption of the passive residential building. The optimum design planning was discussed and model of passive house suited to China's national conditions were attempted. The compactness,solar air collector and the window-wall ratio have essential influence on the energy consumption of buildings. The annual heat demands for the buildings with the window-wall ratio 0.35 and 0.50 are 48 kWh/(m2·a) and 46 kWh/(m2·a),respectively. The yearly auxiliary heat of building with the wall-mounted solar air collectors and the window-wall ratio 0.35 is just 4.8 kWh/(m2·a). 展开更多
关键词 low ENERGY HOUSE ENERGY CONSUMPTION design PHPP software PASSIVE HOUSE
下载PDF
A Review of the DCP-DN Pavement Design Method for Low Volume Sealed Roads: Development and Applications 被引量:1
11
作者 Philip Paige-Green Gerhardt Daniel Van Zyl 《Journal of Transportation Technologies》 2019年第4期397-422,共26页
Widespread implementation of the DCP-DN design method for low volume roads has been promoted internationally over the past decade or so. The method has progressed from a simple determination of the in situ CBR investi... Widespread implementation of the DCP-DN design method for low volume roads has been promoted internationally over the past decade or so. The method has progressed from a simple determination of the in situ CBR investigation based on DCP-CBR correlations with respective cover requirements to a more sophisticated method using the DCP penetration data directly and omitting any need to use correlations with the CBR. This paper summarises the development of the method, and some of its advantages and compares the design structures with other recognised and widely implemented designs. 展开更多
关键词 low VOLUME ROADS design Dynamic Cone PENETROMETER DCP CBR
下载PDF
An Innovative Hullform Design Technique for Low Carbon Shipping 被引量:2
12
作者 Shengzhong Li Feng Zhao 《Journal of Shipping and Ocean Engineering》 2012年第1期28-35,共8页
Combining modem Computational Fluid Dynamics (CFD) evaluator with optimization method, a new approach of hullform design for low carbon shipping is presented. Using the approach, the designers may find the minimum o... Combining modem Computational Fluid Dynamics (CFD) evaluator with optimization method, a new approach of hullform design for low carbon shipping is presented. Using the approach, the designers may find the minimum of some user-defined objective functions under constrains. An example of the approach application for a surface combatant hull optimization is demonstrated. In the procedure, the Particle Swarm Optimization (PSO) algorithm is adopted for exploring the design space, and the Bezier patch method is chosen to automatically modify the geometry of bulb. The total resistance is assessed by RANS solvers. It's shown that the total resistance coefficient of the optimized design is reduced by about 6.6% comparing with the original design. The given combatant design optimization example demonstrates the practicability and superiority of the proposed approach for low carbon shipping. 展开更多
关键词 Hull design optimization low carbon shipping CFD techniques PSO algorithm.
下载PDF
Design of a Low Power DSP with Distributed and Early Clock Gating 被引量:1
13
作者 王兵 王琴 +1 位作者 彭瑞华 付宇卓 《Journal of Shanghai Jiaotong university(Science)》 EI 2007年第5期610-617,共8页
A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gatin... A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gating circuit in high speed circuit, a distributed and early clock gating method was developed on its instruction fetch & decoder unit, its pipelined data-path unit and its super-Harvard memory interface unit. The core was implemented following the Synopsys back-end flow under TSMC (Taiwan Silicon manufacture corporation) 0.18-μm 1.8-V 1P6M process, with a core size of 2 mm×2 mm. Result shows that it can run under 200 MHz with a power performance around 0.3 mW/MIPS. Meanwhile, only 39.7% circuit is active simultaneously in average, compared to its non-gating counterparts. 展开更多
关键词 digital signal processor (DSP) deterministic clock gating (DCG) distributed and early clock gating low power design pipeline
下载PDF
A vector inserting TPG for BIST design with low peak power consumption 被引量:2
14
作者 谈恩民 Song Shengdong Shi Wenkang 《High Technology Letters》 EI CAS 2007年第4期418-421,共4页
A test pattern generator (TPG) which can highly reduce the peak power consumption during built-in self-test (BIST) application is proposed. The proposed TPG, called LPpe-TPG, consists of a linear feedback shift re... A test pattern generator (TPG) which can highly reduce the peak power consumption during built-in self-test (BIST) application is proposed. The proposed TPG, called LPpe-TPG, consists of a linear feedback shift register (LFSR) and some control circuits. A procedure is presented firstly to make compare vectors between pseudorandom test patterns by adding some circuits to the original LFSR and secondly to insert some vectors between two successive pseudorandom test patterns according to the ordinal selection of every two bits of the compare vector. Then the changes between any successive test patterns of the test set generated by the LPpe-TPG are not more than twice. This leads to a decrease of the weighted switching activity (WSA) of the circuit under test (CUT) and therefore a reduction of the power consumption. Experimental results based on some ISCAS' 85 benchmark circuits show that the peak power consumption has been reduced by 25.25% to 64.46%. Also, the effectiveness of our approach to reduce the total and average power consumption is kept, without losing stuck-at fault coverage. 展开更多
关键词 low peak power consumption design built-in self-test (BIST) test pattern generator(TPG) linear feedback shift register (LFSR) weighted switching activity (WSA)
下载PDF
Low-alpha optics design for SSRF 被引量:3
15
作者 WANG Xin TIAN Shunqiang LIU Guimin 《Nuclear Science and Techniques》 SCIE CAS CSCD 2010年第3期134-140,共7页
In this paper,short pulse radiation in Shanghai Synchrotron Radiation Facility(SSRF)is generated by the low momentum compaction factor(α_C)optics,and three kinds of the low-α_C optics are found,with the very lowα_C... In this paper,short pulse radiation in Shanghai Synchrotron Radiation Facility(SSRF)is generated by the low momentum compaction factor(α_C)optics,and three kinds of the low-α_C optics are found,with the very lowα_C being adopted by reducing dispersion in the straight section to negative value in the SSRF.Energy acceptance is selected as objective functions in nonlinear optimization rather than the second orderα_C or other nonlinear driving terms.The optimal result is improved step-by-step by randomly setting harmonic sextupole strengths.Two optics modes,i.e.low positiveα_C and low negativeα_C,are analyzed.In order to get a large energy acceptance and only one stable point in longitudinal phase space,the low negativeα_C optics is taken as an operation mode for the short pulse radiation in the SSRF. 展开更多
关键词 上海同步辐射装置 光学设计 光源 阿尔法 二阶非线性 动量压缩因子 优化目标函数 脉冲辐射
下载PDF
LOW-FREQUENCY LOW-NOISE CIRCUITS DESIGN USING AN E_n-I_n MODEL 被引量:1
16
作者 Wang Jun (China Academy of Engineering and Physics, Chengdu 610003)Dai Yisong(Jilin University of Technology, Changchun 130025) 《Journal of Electronics(China)》 1999年第1期58-65,共8页
In view of the limitations of a Rn-Gn model in the low frequency range and the defects of an En-In model in common use now, this paper builds a complete En-In model according to the theory of random harmonic. The para... In view of the limitations of a Rn-Gn model in the low frequency range and the defects of an En-In model in common use now, this paper builds a complete En-In model according to the theory of random harmonic. The parameters for the low-noise design such as the equivalent input noisy voltage Ens, the optimum source impedance Zsopt and the minimum noise figure Fmin can be calculated accurately by using this En-In model because it considers the coherence between the noise sources fully. Moreover, this paper points out that it will cause the maximum 30% miscalculation when neglecting the effects of the correlation coefficient 7. Using the series-series circuits as an example, this paper discusses the methods for the En-In noise analysis of electronic circuits preliminarily and demonstrates its correctness through the comparison between the simulated and measured results of the minimum noise figure Fmin of a single current series negative feedback circuit. 展开更多
关键词 En-In MODEL low-FREQUENCY CIRCUITS low-NOISE design
下载PDF
INVESTIGATION OF FRACTURE DESIGN FOR MEDIUM CARBON STEEL UNDER EXTRA-LOW CYCLIC FATIGUE IN AXIAL LOADING 被引量:3
17
作者 Youtang Li Shuai Tan Hongyan Duan 《Acta Mechanica Solida Sinica》 SCIE EI 2008年第4期375-382,共8页
The extra-low cyclic fracture problem of medium carbon steel under axial fatigue loading was investigated. Several problems, such as the relations of the cycle times to the depth and tip radius of the notch, loading f... The extra-low cyclic fracture problem of medium carbon steel under axial fatigue loading was investigated. Several problems, such as the relations of the cycle times to the depth and tip radius of the notch, loading frequency, loading range and the parameters of fracture design for medium carbon steel on condition of extra-low axial fatigue loading were discussed based on the experiments. Experimental results indicated that the tension-pressure fatigue loading mode was suitable for extra-low cyclic fatigue fracture design of medium carbon steel and it resulted in low energy consumption, fracture surface with high quality, low cycle times, and high efficiency. The appropriate parameters were as follows: loading frequency 3-5 Hz, notch tip radius r = (0.2-0.3) mm, opening angle α = 60°, and notch depth t = (0.14-0.17)D. 展开更多
关键词 extra-low cyclic fatigue fracture design medium carbon steel crack technique
下载PDF
Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm
18
作者 Shunrui Li Jianjun Chen +2 位作者 Zuocheng Xing Jinjin Shao Xi Peng 《Journal of Computer and Communications》 2015年第11期164-168,共5页
With the rapid development of integrated circuits [1], low power consumption has become a constant pursuiting goal of the designer in chip design. As the memory almost takes up the area of the chip, reducing memory po... With the rapid development of integrated circuits [1], low power consumption has become a constant pursuiting goal of the designer in chip design. As the memory almost takes up the area of the chip, reducing memory power consumption will significantly reduce the overall power consumption of the chip;according to ISSCC’s 2014 report about technology trends discussions, there two points of the super-low power SRAM design: 1) design a more effective static and dynamic power control circuit for each key module of SRAM;2) ensure that in the case of the very low VDD min, SRAM can operating reliably and stably. This paper makes full use reliable of 8T cell, and the single-port sense amplifier has solved problems in the traditional 8T cell structure, making the new structure of the memory at a greater depth still maintain good performance and lower power consumption. Compared with the designed SRAM the SRAM generated by commercial compiler, as the performance loss at SS corner does not exceed 10%, the whole power consumption could be reduced by 54.2%, which can achieve a very good effect of low-power design. 展开更多
关键词 Single PORT SENSE AMPLIFIER SRAM design low Power design 8T SRAM
下载PDF
Structural Design of Asphalt Pavement for Low Cost Rural Roads
19
作者 袁国林 陈荣生 《Journal of Southwest Jiaotong University(English Edition)》 2006年第4期379-386,共8页
On the basis of the equivalent axle load action frequency, the traffic classifications of rural roads as well as their corresponding types are classified. The asphalt pavement structure, road surface types and thickne... On the basis of the equivalent axle load action frequency, the traffic classifications of rural roads as well as their corresponding types are classified. The asphalt pavement structure, road surface types and thickness of the rural roads are suggested for the various action frequency of the equivalent axle load. Furthermore, the roadbase thickness graphs are provided according to different equivalent axle load action frequency with different roadbed modulus and road surface modulus taken into account. 展开更多
关键词 Structural design Asphalt pavement low cost Rural roads
下载PDF
Design of Low-Power Data Logger of Deep Sea for Long-Term Field Observation 被引量:1
20
作者 赵伟 陈鹰 +2 位作者 杨灿军 曹建伟 顾临怡 《China Ocean Engineering》 SCIE EI 2009年第1期133-144,共12页
This paper describes the implementation of a data logger for the real-time in-situ monitoring of hydrothermal systems. A compact mechanical structure ensures the security and reliability of data logger when used under... This paper describes the implementation of a data logger for the real-time in-situ monitoring of hydrothermal systems. A compact mechanical structure ensures the security and reliability of data logger when used under deep sea. The data logger is a battery powered instrument, which can connect chemical sensors (pH electrode, H2S electrode, H2 electrode) and temperature sensors. In order to achieve major energy savings, dynamic power management is implemented in hardware design and software design. The working current of the data logger in idle mode and active mode is 15 μA and 1.44 mA respectively, which greatly extends the working time of battery. The data logger has been successftdly tested in the first Sino-American Cooperative Deep Submergence Project from August 13 to September 3, 2005. 展开更多
关键词 data logger low-power design deep sea long-term monitoring
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部