期刊文献+
共找到13,168篇文章
< 1 2 250 >
每页显示 20 50 100
Increasing the Efficiency and Level of Environmental Safety of Pro-Environmental City Heat Supply Technologies by Low Power Nuclear Plants
1
作者 Vladimir Kravchenko Igor Kozlov +3 位作者 Volodymyr Vashchenko Iryna Korduba Andrew Overchenko Serhii Tsybytovskyi 《World Journal of Nuclear Science and Technology》 CAS 2024年第2期107-117,共11页
In connection with the current prospect of decarbonization of coal energy through the use of small nuclear power plants (SNPPs) at existing TPPs as heat sources for heat supply to municipal heating networks, there is ... In connection with the current prospect of decarbonization of coal energy through the use of small nuclear power plants (SNPPs) at existing TPPs as heat sources for heat supply to municipal heating networks, there is a technological need to improve heat supply schemes to increase their environmental friendliness and efficiency. The paper proves the feasibility of using the heat-feeding mode of ASHPs for urban heat supply by heating the network water with steam taken from the turbine. The ratio of electric and thermal power of a “nuclear” combined heat and power plant is given. The advantage of using a heat pump, which provides twice as much electrical power with the same heat output, is established. Taking into account that heat in these modes is supplied with different potential, the energy efficiency was used to compare these options. To increase the heat supply capacity, a scheme with the use of a high-pressure heater in the backpressure mode and with the heating of network water with hot steam was proposed. Heat supply from ASHPs is efficient and environmentally friendly even in the case of significant remoteness of heat consumers. 展开更多
关键词 low-Capacity Nuclear power Plants Environmental Friendliness of the Thermal power Generation Mode Heat Generation Condensation Mode Heat Supply
下载PDF
Soft transmission of 3D video for low power and low complexity scenario
2
作者 Ao Li Taihai Yang +1 位作者 Wenxin Wu Lei Luo 《Digital Communications and Networks》 SCIE CSCD 2023年第3期769-778,共10页
Thanks to the rapid development of naked-eye 3D and wireless communication technology,3D video related applications on mobile devices have attracted a lot of attention.Nevertheless,the time-varying characteristics of ... Thanks to the rapid development of naked-eye 3D and wireless communication technology,3D video related applications on mobile devices have attracted a lot of attention.Nevertheless,the time-varying characteristics of the wireless channel is very challenging for conventional source-channel coding based transmission strategy.Also,the high complexity of source-channel coding based transmission scheme is undesired for low power mobile terminals.An advanced transmission scheme named Softcast was proposed to achieve efficient transmission performance for 2D image/video.Unfortunately,it cannot be directly applied to wireless 3D video transmission with high efficiency.This paper proposes a more efficient soft transmission scheme for 3D video with a graceful quality adaptation within a wide range of channel Signal-to-Noise Ratio(SNR).The proposed method first extends the linear transform to 4 dimensions with additional view dimension to eliminate the view redundancy,and then metadata optimization and chunk interleaving are designed to further improve the transmission performance.Meanwhile,a synthesis distortion based chunk discard strategy is developed to improve the overall 3D video quality under the condition of limited bandwidth.The experimental results demonstrate that the proposed method significantly improves the 3D video transmission performance over the wireless channel for low power and low complexity scenarios. 展开更多
关键词 Softcast low power 3D video WIRELESS
下载PDF
Low power consumption 4-channel variable optical attenuator array based on planar lightwave circuit technique 被引量:2
3
作者 任梅珍 张家顺 +6 位作者 安俊明 王玥 王亮亮 李建光 吴远大 尹小杰 胡雄伟 《Chinese Physics B》 SCIE EI CAS CSCD 2017年第7期188-193,共6页
The power consumption of a variable optical attenuator(VOA) array based on a silica planar lightwave circuit was investigated. The thermal field profile of the device was optimized using the finite-element analysis.... The power consumption of a variable optical attenuator(VOA) array based on a silica planar lightwave circuit was investigated. The thermal field profile of the device was optimized using the finite-element analysis. The simulation results showed that the power consumption reduces as the depth of the heat-insulating grooves is deeper, the up-cladding is thinner,the down-cladding is thicker, and the width of the cladding ridge is narrower. The materials component and thickness of the electrodes were also optimized to guarantee the driving voltage under 5 V. The power consumption was successfully reduced to as low as 155 mW at an attenuation of 30 dB in the experiment. 展开更多
关键词 variable optical attenuator planar lightwave circuit low power consumption thermal simulation
下载PDF
A Novel Ultra Low Power High Performance Atto-Ampere CMOS Current Mirror with Enhanced Bandwidth 被引量:1
4
作者 Seyed Javad Azhari Khalil Monfaredi Hassan Faraji Baghtash 《Journal of Electronic Science and Technology》 CAS 2010年第3期251-256,共6页
A novel CMOS atto-ampere current mirror (AACM) is proposed which reaches the minimum yet reported current range of 0.4 aA. Operation of this circuit is based on the source voltage modulation instead of the conventio... A novel CMOS atto-ampere current mirror (AACM) is proposed which reaches the minimum yet reported current range of 0.4 aA. Operation of this circuit is based on the source voltage modulation instead of the conventionally used gate voltage modulation which interestingly prevents usage of commonly required voltage shifting in those circuits. The proposed circuit has a simple structure prohibiting large chip area consumption which consumes extremely low power of 1.5 μW. It is thus the best choice for ultra low power low voltage (ULPLV) applications. By using a very simple frequency compensation technique, its bandwidth is widened to 15.8 kHz. Simulation results in SMIC (Semiconductor Manufacturing International Corporation) 0.18 μm CMOS technology with Hspice are presented to demonstrate the validation of the proposed current mirror. 展开更多
关键词 Atto-ampere current mirror low voltage ultra low power.
下载PDF
A LOW POWER TIME-TO-DIGITAL CONVERTER FOR ALL-DIGITAL PHASE-LOCKED LOOP 被引量:1
5
作者 Yu Guangming Wang Yu Yang Huazhong 《Journal of Electronics(China)》 2011年第3期402-408,共7页
Time-to-Digital Converter (TDC) is a key block used as the phase/frequency detector in an All-Digital Phase-Locked Loop (ADPLL). Usually, it occupies a large proportion of ADPLL's total power consumption up to abo... Time-to-Digital Converter (TDC) is a key block used as the phase/frequency detector in an All-Digital Phase-Locked Loop (ADPLL). Usually, it occupies a large proportion of ADPLL's total power consumption up to about 30% to 40%. In this paper, the detailed power consumption of different components in the TDC is analyzed. A Power Management Block (PMB) is presented for the TDC to reduce its power consumption. A 24-bits TDC core with the proposed PMB is implemented in HJTC 0.18 μm CMOS technology. Simulation results show that up to 84% power reduction is achieved using our proposed technique. 展开更多
关键词 low power power management All-Digital Phase-Locked Loop (ADPLL) Time-to-Digital Converter (TDC)
下载PDF
Low Cost,Low Power Magnetic IEDs Detection Method Using Biaxial Magnetometry Digital Sensors
6
作者 Hamzah Naser Alrawi Widad Ismail 《Journal of Electronic Science and Technology》 CAS CSCD 2016年第3期229-233,共5页
The magnetic improvised explosive devices (IEDs), also commonly known as a type of a sticky bomb, is simply constructed devices yet very lethal. This paper puts forward the idea of an electronic compass that is capa... The magnetic improvised explosive devices (IEDs), also commonly known as a type of a sticky bomb, is simply constructed devices yet very lethal. This paper puts forward the idea of an electronic compass that is capable of sensing the change of a magnetic field generated by a magnet and translating it into interpretable data, which could act as the base for the further studies and assist in developing a greener automated system for detecting this device. The electronic compass is specifically chosen for reducing power consumption of systems in addition to the fact that it is available at a low cost. 展开更多
关键词 COMPASS DETECT low power sensor improvised explosive devices (lED) MAGNETS magnetometer.
下载PDF
Very Low Power,Low Voltage,High Accuracy,and High Performance Current Mirror
7
作者 Hassan Faraji Baghtash Khalil Monfaredi Ahmad Ayatollahi 《Journal of Electronic Science and Technology》 CAS 2011年第3期211-215,共5页
A novel low power and low voltage current mirror with a very low current copy error is presented and the principle of its operation is discussed. In this circuit, the gain boosting regulated cascode scheme is used to ... A novel low power and low voltage current mirror with a very low current copy error is presented and the principle of its operation is discussed. In this circuit, the gain boosting regulated cascode scheme is used to improve the output resistance, while using inverter as an amplifier. The simulation results with HSPICE in TSMC 0.18 μm CMOS technology are given, which verify the high performance of the proposed structure. Simulation results show an input resistance of 0.014 Ω and an output resistance of 3 GΩ. The current copy error is favorable as low as 0.002% together with an input (the minimum input voltage of Vin,min- 0.24 V) and an output (the minimum output voltage of Vout,min~ 0.16 V) compliances while working with the 1 V power supply and the 50 μA input current. The current copy error is near zero at the input current of 27 μA. It consumes only 76μW and introduces a very low output offset current of 50 pA. 展开更多
关键词 Index Terms--Current mirror/source high accurate high output resistance low power low voltage.
下载PDF
SACSR:a low power BIST method for sequential circuits
8
作者 雷绍充 郭军 +2 位作者 曹磊 刘泽叶 王宣明 《Journal of Pharmaceutical Analysis》 SCIE CAS 2008年第3期155-159,共5页
A novel built-in-self-test(BIST) method called seeded autonomous cyclic shift register (SACSR) is presented to reduce test power of the sequential circuit. The key idea is to use a pseudorandom pattern generator and s... A novel built-in-self-test(BIST) method called seeded autonomous cyclic shift register (SACSR) is presented to reduce test power of the sequential circuit. The key idea is to use a pseudorandom pattern generator and several XOR gates to generate seeds that share fewer test vectors. The generated seed is taken XOR operation with a cyclic shift register, and the single input change (SIC) sequence is generated. The proposed scheme is easily implemented and can reduce the switching activities of the circuit under test (CUT) greatly. Experimental results on ISCAS89 benchmarks show that on average more than 63% power reduction can be achieved. It also demonstrates that the generated test vectors attain high fault coverage for stuck-at fault and transition fault coverage with short test length. 展开更多
关键词 low power test pattern built-in-self-test
下载PDF
Design of Low Power Transmission Gate Based 9T SRAM Cell
9
作者 S.Rooban Moru Leela +2 位作者 Md.Zia Ur Rahman N.Subbulakshmi R.Manimegalai 《Computers, Materials & Continua》 SCIE EI 2022年第7期1309-1321,共13页
Considerable research has considered the design of low-power and high-speed devices.Designing integrated circuits with low-power consumption is an important issue due to the rapid growth of high-speed devices.Embedded... Considerable research has considered the design of low-power and high-speed devices.Designing integrated circuits with low-power consumption is an important issue due to the rapid growth of high-speed devices.Embedded static random-access memory(SRAM)units are necessary components in fast mobile computing.Traditional SRAM cells are more energyconsuming and with lower performances.The major constraints in SRAM cells are their reliability and low power.The objectives of the proposed method are to provide a high read stability,low energy consumption,and better writing abilities.A transmission gate-based multi-threshold single-ended Schmitt trigger(ST)9T SRAM cell in a bit-interleaving structure without a write-back scheme is proposed.Herein,an ST inverter with a single bit-line design is used to attain the high read stability.A negative assist technique is applied to alter the trip voltage of the single-ended ST inverter.The multithreshold complementary metal oxide semiconductor(MTCMOS)technique is adopted to reduce the leakage power in the proposed single-ended TGST 9T SRAM cell.The proposed system uses a combination of standard and ST inverters,which results in a large read stability.Compared with the previous ST 9T,ST 11T,11T,10T,and 7T SRAM cells,the proposed cell is implemented in Cadence Virtuoso ADE with 45-nm CMOS technology and consumes 35.80%,42.09%,31.60%,12.54%,and 31.60%less energy for read operations and 73.59%,93.95%,92.76%,89.23%,and 85.78%less energy for write operations,respectively. 展开更多
关键词 Bit-interleaving low power SRAM cell schmitt trigger transmission gate
下载PDF
A Two-Dimension Time-Domain Comparator for Low Power SAR ADCs
10
作者 Liangbo Xie Sheng Li +1 位作者 Yan Ren Zhengwen Huang 《Computers, Materials & Continua》 SCIE EI 2020年第11期1519-1529,共11页
This paper presents a two-dimension time-domain comparator suitable for low power successive-approximation register(SAR)analog-to-digital converters(ADCs).The proposed two-dimension time-domain comparator consists of ... This paper presents a two-dimension time-domain comparator suitable for low power successive-approximation register(SAR)analog-to-digital converters(ADCs).The proposed two-dimension time-domain comparator consists of a ring oscillator collapse-based comparator and a counter.The propagation delay of a voltage controlled ring oscillator depends on the input.Thus,the comparator can automatically change the comparison time according to its input difference,which can adjust the power consumption of the comparator dynamically without any control logic.And a counter is utilized to count the cycle needed to finish a comparison when the input difference is small.Thus,the proposed comparator can not only provide the polarity of the input,but also the amount information of the input,which helps to skip most of the SAR cycles when the initial input is small.Thus,most energy can be saved when the initial input is small.The proposed time-domain comparator is designed in 0.18μm CMOS technology.Simulation results demonstrate that the comparator can not only save power consumption,but also give the design flexibility,and the current is only nA level when the supply voltage is 0.6 V. 展开更多
关键词 Time-domain comparator two dimensions low power
下载PDF
Study of a GaAs MESFET Model with Ultra-Low Power Consumption
11
作者 Wang Wenqi Wang Rongguang Chen Baolin Wang Tong (School of Communication and Information Engineering) 《Advances in Manufacturing》 SCIE CAS 1998年第3期43-47,共5页
A model of enhancement mode GaAs MESFET (EFET) for low power consumption and low noise applications has been obtained by using a small signal equivalent circuit whose component values are derived from the physical p... A model of enhancement mode GaAs MESFET (EFET) for low power consumption and low noise applications has been obtained by using a small signal equivalent circuit whose component values are derived from the physical parameters and the bias condition. The dependence of the RF performance and DC power consumption on physical, material and technological parameters of EFET is also studied. The optimum range of the physical parameters is given which is useful for the design of active device of ultra low power consumption MMIC. 展开更多
关键词 EFET ultra low power consumption
下载PDF
Ultra-Low Power Pipeline Structure Exploiting Noncritical Stage with Circuit-Level Timing Speculation
12
作者 Tao Luo Ya-Juan He +2 位作者 Ping Luo Yan-Ming He Feng Hu 《Journal of Electronic Science and Technology》 CAS 2013年第3期301-305,共5页
With the increase of the clock frequency and silicon integration, power aware computing has become a critical concern in the design of the embedded processor and system-on-chip (SoC). Dynamic voltage scaling (DVS)... With the increase of the clock frequency and silicon integration, power aware computing has become a critical concern in the design of the embedded processor and system-on-chip (SoC). Dynamic voltage scaling (DVS) is an effective method for low-power designs. However, traditional DVS methods have two deficiencies. First, they have a conservative safety margin which is not necessary for most of the time. Second, they are exclusively concerned with the critical stage and ignore the significant potential free slack time of the noncritical stage. These factors lead to a large amount of power waste. In this paper, a novel pipeline structure with ultra-low power consumption is proposed. It cuts off the safety margin and takes use of the noncritical stages at the same time. A prototype pipeline is designed in 0.13 μm technology and analyzed. The result shows that a large amount of energy can be saved by using this structure. Compared with the fixed voltage case, 50% of the energy can be saved, and with respect to the traditional adaptive voltage scaling design, 37.8% of the energy can be saved. 展开更多
关键词 Index Terms---Adaptive circuits dynamic voltagescaling exploiting noncritical stage ultra-low power.
下载PDF
Data Intelligent Low Power High Performance TCAM for IP-Address Lookup Table
13
作者 K. Mathan T. Ravichandran 《Circuits and Systems》 2016年第11期3734-3745,共12页
This paper represents current research in low-power Very Large Scale Integration (VLSI) domain. Nowadays low power has become more sought research topic in electronic industry. Power dissipation is the most important ... This paper represents current research in low-power Very Large Scale Integration (VLSI) domain. Nowadays low power has become more sought research topic in electronic industry. Power dissipation is the most important area while designing the VLSI chip. Today almost all of the high speed switching devices include the Ternary Content Addressable Memory (TCAM) as one of the most important features. When a device consumes less power that becomes reliable and it would work with more efficiency. Complementary Metal Oxide Semiconductor (CMOS) technology is best known for low power consumption devices. This paper aims at designing a router application device which consumes less power and works more efficiently. Various strategies, methodologies and power management techniques for low power circuits and systems are discussed in this research. From this research the challenges could be developed that might be met while designing low power high performance circuit. This work aims at developing Data Aware AND-type match line architecture for TCAM. A TCAM macro of 256 × 128 was designed using Cadence Advanced Development Environment (ADE) with 90 nm technology file from Taiwan Semiconductor Manufacturing Company (TSMC). The result shows that the proposed Data Aware architecture provides around 35% speed and 45% power improvement over existing architecture. 展开更多
关键词 low power TCAM Switching power Match Line Searchline Data Aware and Speech Processing
下载PDF
Design for Low Power Testing of Computation Modules with Contiguous Subspace in VLSI
14
作者 Ji-Xue Xiao Yong-Le Xie Guang-Ju Chen 《Journal of Electronic Science and Technology of China》 2009年第4期326-330,共5页
A kind of pseudo Gray code presentation of test patterns based on accumulation generators is presented and a low power test scheme is proposed to test computational function modules with contiguous subspace in very la... A kind of pseudo Gray code presentation of test patterns based on accumulation generators is presented and a low power test scheme is proposed to test computational function modules with contiguous subspace in very large scale integration (VLSI), especially in digital signal processors (DSP). If test patterns from accumulators for the modules are encoded in the pseudo Gray code presentation, the switching activities of the modules are reduced, and the decrease of the test power consumption is resulted in. Results of experimentation based on FPGA show that the test approach can reduce dynamic power consumption by an average of 17.40% for 8-bit ripple carry adder consisting of 3-2 counters. Then implementation of the low power test in hardware is exploited. Because of the reuse of adders, introduction of additional XOR logic gates is avoided successfully. The design minimizes additional hardware overhead for test and needs no adjustment of circuit structure. The low power test can detect any combinational stuck-at fault within the basic building block without any degradation of original circuit performance. 展开更多
关键词 ADDER design digital signal processors (DSP) low power test.
下载PDF
Low Power Sensor Design for IoT and Mobile Healthcare Applications 被引量:2
15
作者 CHEN Xican Woogeun RHEE WANG Zhihua 《China Communications》 SCIE CSCD 2015年第5期42-54,共13页
This paper reviews recent advances in radar sensor design for low-power healthcare,indoor real-time positioning and other applications of IoT.Various radar front-end architectures and digital processing methods are pr... This paper reviews recent advances in radar sensor design for low-power healthcare,indoor real-time positioning and other applications of IoT.Various radar front-end architectures and digital processing methods are proposed to improve the detection performance including detection accuracy,detection range and power consumption.While many of the reported designs were prototypes for concept verification,several integrated radar systems have been demonstrated with reliable measured results with demo systems.A performance comparison of latest radar chip designs has been provided to show their features of different architectures.With great development of IoT,short-range low-power radar sensors for healthcare and indoor positioning applications will attract more and more research interests in the near future. 展开更多
关键词 传感器设计 医疗应用 功率传感器 雷达系统 移动 检测精度 性能对比 室内定位
下载PDF
Area-Efficient Low Power CMOS Image Sensor Readout Circuit with Fixed Pattern Noise Cancellation 被引量:2
16
作者 赵士彬 姚素英 +1 位作者 聂凯明 徐江涛 《Transactions of Tianjin University》 EI CAS 2010年第5期342-347,共6页
A low cost of die area and power consumption CMOS image sensor readout circuit with fixed pattern noise(FPN) cancellation is proposed.By using only one coupling capacitor and switch in the double FPN cancelling correl... A low cost of die area and power consumption CMOS image sensor readout circuit with fixed pattern noise(FPN) cancellation is proposed.By using only one coupling capacitor and switch in the double FPN cancelling correlative double sampling(CDS),pixel FPN is cancelled and column FPN is stored and eliminated by the sampleand-hold operation of digitally programmable gain amplifier(DPGA).The bandwidth balance technology based on operational amplifier(op-amp) sharing is also introduced to decrease the power dissipation of traditional multi-stage switched capacitor DPGA.The circuit is designed and simulated using 1P6M 0.18 μm 1.8 V/3.3 V process.Simulation results indicate that the proposed CDS scheme can achieve an FPN of less than 1 mV.The total sampling capacitor per column is 0.9 pF and no column-wise power is dissipated.The die area and FPN value are cut by 70% and 41% respectively compared with amplifier-based CDS.The op-amp sharing gain stage can achieve a 12-bit precision and also implement an 8-bit gain controlling within a gain range of 24 dB.Its power consumption is 1.4 mW,which is reduced by 57% compared with traditional schemes.The proposed readout circuit is suitable for the application of low power cost-sensitive imaging systems. 展开更多
关键词 成像系统 图象传感器 低力量电子 电容器 运作的放大器 固定模式噪音 带宽平衡技术 op 安培分享
下载PDF
Low power linear actuator for direct drive electrohydraulic valves 被引量:1
17
作者 Yong LI Fan DING +1 位作者 Jian CUI Qi-peng LI 《Journal of Zhejiang University-Science A(Applied Physics & Engineering)》 SCIE EI CAS CSCD 2008年第7期940-943,共4页
This paper presents a bi-directional permanent-magnet linear actuator for directly driving electrohydraulic valves with low power consumption. Its static and dynamic performances were analyzed using the 2D finite elem... This paper presents a bi-directional permanent-magnet linear actuator for directly driving electrohydraulic valves with low power consumption. Its static and dynamic performances were analyzed using the 2D finite element method,taking into account the nonlinear characterization and the eddy current loss of the magnetic material. The experiment and simulation results agree well and show that the prototype actuator can produce a force of ±100 N with the maximum power being 7 W and has linear characteristics with a positive magnetic stiffness within a stroke of ±1 mm. Its non-linearity is less than 1.5% and the hysteresis less than 1.5%. The actuator's frequency response(-3 dB) of the displacement reaches about 15 Hz,and the most significant factor affecting the dynamic performance is identified as the eddy current loss of the magnetic material. 展开更多
关键词 电-液阀 线性执行机构 低功率 高压
下载PDF
Design of a Low Power DSP with Distributed and Early Clock Gating 被引量:1
18
作者 王兵 王琴 +1 位作者 彭瑞华 付宇卓 《Journal of Shanghai Jiaotong university(Science)》 EI 2007年第5期610-617,共8页
A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gatin... A novel clock structure of a low-power 16-bit very large instruction word (VLIW) digital signal processor (DSP) was proposed. To improve deterministic clock gating and to solve the drawback of conventional clock gating circuit in high speed circuit, a distributed and early clock gating method was developed on its instruction fetch & decoder unit, its pipelined data-path unit and its super-Harvard memory interface unit. The core was implemented following the Synopsys back-end flow under TSMC (Taiwan Silicon manufacture corporation) 0.18-μm 1.8-V 1P6M process, with a core size of 2 mm×2 mm. Result shows that it can run under 200 MHz with a power performance around 0.3 mW/MIPS. Meanwhile, only 39.7% circuit is active simultaneously in average, compared to its non-gating counterparts. 展开更多
关键词 逻辑信号处理 时钟机械 逻辑设计 图象分布
下载PDF
Low Power Computing Paradigms Based on Emerging Non-Volatile Nanodevices 被引量:1
19
作者 G.-F.Wang W.Kang +4 位作者 Y.-Q.Cheng J.Nan J.-O.Klein Y.-G.Zhang W.-S.Zhao 《Journal of Electronic Science and Technology》 CAS 2014年第2期163-172,共10页
Traditional digital processing approaches are based on semiconductor transistors, which suffer from high power consumption, aggravating with technology node scaling. To solve definitively this problem, a number of eme... Traditional digital processing approaches are based on semiconductor transistors, which suffer from high power consumption, aggravating with technology node scaling. To solve definitively this problem, a number of emerging non-volatile nanodevices are under intense investigations. Meanwhile, novel computing circuits are invented to dig the full potential of the nanodevices. The combination of non-volatile nanodevices with suitable computing paradigms have many merits compared with the complementary metal-oxide-semiconductor transistor (CMOS) technology based structures, such as zero standby power, ultra-high density, non-volatility, and acceptable access speed. In this paper, we overview and compare the computing paradigms based on the emerging nanodevices towards ultra-low dissipation. 展开更多
关键词 Emerging nanodevices logic in memory low-power computing paradigms memristor neuromorphic normally-off reconfigurable logic
下载PDF
Low power fluorine plasma effects on electrical reliability of AlGaN/GaN high electron mobility transistor
20
作者 杨凌 周小伟 +4 位作者 马晓华 吕玲 曹艳荣 张进成 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2017年第1期433-437,共5页
The new electrical degradation phenomenon of the AlGaN/GaN high electron mobility transistor(HEMT) treated by low power fluorine plasma is discovered. The saturated current, on-resistance, threshold voltage, gate le... The new electrical degradation phenomenon of the AlGaN/GaN high electron mobility transistor(HEMT) treated by low power fluorine plasma is discovered. The saturated current, on-resistance, threshold voltage, gate leakage and breakdown voltage show that each experiences a significant change in a short time stress, and then keeps unchangeable. The migration phenomenon of fluorine ions is further validated by the electron redistribution and breakdown voltage enhancement after off-state stress. These results suggest that the low power fluorine implant ion stays in an unstable state. It causes the electrical properties of AlGaN/GaN HEMT to present early degradation. A new migration and degradation mechanism of the low power fluorine implant ion under the off-stress electrical stress is proposed. The low power fluorine ions would drift at the beginning of the off-state stress, and then accumulate between gate and drain nearby the gate side. Due to the strong electronegativity of fluorine, the accumulation of the front fluorine ions would prevent the subsequent fluorine ions from drifting, thereby alleviating further the degradation of AlGaN/GaN HEMT electrical properties. 展开更多
关键词 AlGaN/GaN HEMT low plasma power fluorine implant ion early electrical reliability
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部