期刊文献+
共找到33篇文章
< 1 2 >
每页显示 20 50 100
Spin logic devices based on negative differential resistance -enhanced anomalous Hall effect
1
作者 Hongming Mou Ziyao Lu +2 位作者 Yuchen Pu Zhaochu Luo Xiaozhong Zhang 《International Journal of Minerals,Metallurgy and Materials》 SCIE EI CAS CSCD 2024年第6期1437-1448,共12页
Owing to rapid developments in spintronics,spin-based logic devices have emerged as promising tools for next-generation computing technologies.This paper provides a comprehensive review of recent advancements in spin ... Owing to rapid developments in spintronics,spin-based logic devices have emerged as promising tools for next-generation computing technologies.This paper provides a comprehensive review of recent advancements in spin logic devices,particularly focusing on fundamental device concepts rooted in nanomagnets,magnetoresistive random access memory,spin–orbit torques,electric-field modu-lation,and magnetic domain walls.The operation principles of these devices are comprehensively analyzed,and recent progress in spin logic devices based on negative differential resistance-enhanced anomalous Hall effect is summarized.These devices exhibit reconfigur-able logic capabilities and integrate nonvolatile data storage and computing functionalities.For current-driven spin logic devices,negative differential resistance elements are employed to nonlinearly enhance anomalous Hall effect signals from magnetic bits,enabling reconfig-urable Boolean logic operations.Besides,voltage-driven spin logic devices employ another type of negative differential resistance ele-ment to achieve logic functionalities with excellent cascading ability.By cascading several elementary logic gates,the logic circuit of a full adder can be obtained,and the potential of voltage-driven spin logic devices for implementing complex logic functions can be veri-fied.This review contributes to the understanding of the evolving landscape of spin logic devices and underscores the promising pro-spects they offer for the future of emerging computing schemes. 展开更多
关键词 spin logic spin–orbit torque negative differential resistance full-adder
下载PDF
Negative differential resistance behaviour in N-doped crossed graphene nanoribbons 被引量:1
2
作者 陈灵娜 马松山 +3 位作者 欧阳方平 伍小赞 肖金 徐慧 《Chinese Physics B》 SCIE EI CAS CSCD 2010年第9期531-535,共5页
By using first-principles calculations and nonequilibrium Green's function technique, we study elastic transport properties of crossed graphene nanoribbons. The results show that the electronic transport properties o... By using first-principles calculations and nonequilibrium Green's function technique, we study elastic transport properties of crossed graphene nanoribbons. The results show that the electronic transport properties of molecular junctions can be modulated by doped atoms. Negative differential resistance (NDR) behaviour can be observed in a certain bias region, when crossed graphene nanoribbons are doped with nitrogen atoms at the shoulder, but it cannot be observed for pristine crossed graphene nanoribbons at low biases. A mechanism for the negative differential resistance behaviour is suggested. 展开更多
关键词 transport properties negative differential resistance FIRST-PRINCIPLES crossed graphene nanoribbons
下载PDF
Negative differential resistance and quantum oscillations in FeSb_2 with embedded antimony
3
作者 汤方栋 杜乾衡 +3 位作者 Cedomir Petrovic 张威 何明全 张立源 《Chinese Physics B》 SCIE EI CAS CSCD 2019年第3期321-327,共7页
We present a systematical study on single crystalline FeSb2 using electrical transport and magnetic torque measurements at low temperatures. Nonlinear magnetic field dependence of Hall resistivity demonstrates a multi... We present a systematical study on single crystalline FeSb2 using electrical transport and magnetic torque measurements at low temperatures. Nonlinear magnetic field dependence of Hall resistivity demonstrates a multi-carrier transport instinct of the electronic transport. Current-controlled negative differential resistance(CC-NDR) observed in currentvoltage characteristics below ~ 7 K is closely associated with the intrinsic transition ~ 5 K of FeSb2, which is, however,mediated by extrinsic current-induced Joule heating effect. The antimony crystallized in a preferred orientation within the FeSb2 lattice in the high-temperature synthesis process leaves its fingerprint in the de Haas-Van Alphen(dHvA) oscillations, and results in the regular angular dependence of the oscillating frequencies. Nevertheless, possible existence of intrinsic non-trivial states cannot be completely ruled out. Our findings call for further theoretical and experimental studies to explore novel physics on flux-free grown FeSb_2 crystals. 展开更多
关键词 two-carrier transport negative differential resistance quantum OSCILLATIONS FeSb2 with EMBEDDED ANTIMONY
下载PDF
Room-Temperature Organic Negative Differential Resistance Device Using CdSe Quantum Dots as the ITO Modification Layer
4
作者 焦博 姚丽娟 +3 位作者 吴春芳 董化 侯洵 吴朝新 《Chinese Physics Letters》 SCIE CAS CSCD 2015年第11期122-126,共5页
Room-temperature negative differential resistance (NDR) has been observed in different types of organic materials. However, detailed study on the influence of the organic material on NDR performance is still scarce.... Room-temperature negative differential resistance (NDR) has been observed in different types of organic materials. However, detailed study on the influence of the organic material on NDR performance is still scarce. In this work, room-temperature NDR & observed when CdSe quantum dot (QD) modified ITO is used as the electrode. Furthermore, material dependence of the NDR performance is observed by selecting materials with different charge transporting properties as the active layer, respectively. A peak-to-valley current ratio up to 9 is observed. It is demonstrated that the injection barrier between ITO and the organic active layer plays a decisive role for the device NDR performance. The influence of the aggregation state of CdSe QDs on the NDR performance is also studied, which indicates that the NDR is caused by the resonant tunneling process in the ITO/CdSe QD/organic active layer structure. 展开更多
关键词 Room-Temperature Organic negative differential resistance Device Using CdSe Quantum Dots as the ITO Modification Layer QDs ndr ITO
下载PDF
Analyzing the Effects of Key Design Factors of a Negative-Differential-Resistance(NDR)Microfluidic Oscillator–an Equivalent-Circuit-Model Approach
5
作者 J.W.Wu H.M.Xia +2 位作者 Z.P.Wang W.Wang H.J.Du 《Advances in Applied Mathematics and Mechanics》 SCIE 2022年第6期1381-1399,共19页
Numerical study on dynamic hydroelastic problems is usually rather complex due to the coupling of fluid and solid mechanics.Here,we demonstrate that the performance of a hydroelastic microfluidic oscillator can be ana... Numerical study on dynamic hydroelastic problems is usually rather complex due to the coupling of fluid and solid mechanics.Here,we demonstrate that the performance of a hydroelastic microfluidic oscillator can be analyzed using a simple equivalent circuit model.Previous studies reveal that its transition from the steady state to the oscillation state follows the negative-differential-resistance(NDR)mechanism.The performance is mainly determined by a bias fluidic resistor,and a pressurevariant resistor which further relates to the bending stiffness of the elastic diaphragm and the depth of the oscillation chamber.In this work,a numerical study is conducted to examine the effects of key design factors on the device robustness,the applicable fluid viscosity,the flow rate,and the transition pressure.The underlying physics is interpreted,providing a new perspective on hydroelastic oscillation problems.Relevant findings also provide design guidelines of the NDR fluidic oscillator. 展开更多
关键词 Microfluidic oscillator hydroelastics equivalent circuit model negative differential resistance
原文传递
Tunable Thermal Rectification and Negative Differential Thermal Resistance in Gas-Filled Nanostructure with Mechanically-Controllable Nanopillars 被引量:1
6
作者 LI Fan LI Haiyang +2 位作者 WANG Jun XIA Guodong HWANG Gisuk 《Journal of Thermal Science》 SCIE EI CAS CSCD 2022年第4期1084-1093,共10页
In this study,by using the nonequilibrium molecular dynamics and the kinetic theory,we examine the tailored nanoscale thermal transport via a gas-filled nanogap structure with mechanically-controllable nanopillars in ... In this study,by using the nonequilibrium molecular dynamics and the kinetic theory,we examine the tailored nanoscale thermal transport via a gas-filled nanogap structure with mechanically-controllable nanopillars in one surface only,i.e.,changing nanopillar height.It is found that both the thermal rectification and negative differential thermal resistance(NDTR)effects can be substantially enhanced by controlling the nanopillar height.The maximum thermal rectification ratio can reach 340%and the△T range with NDTR can be significantly enlarged,which can be attributed to the tailored asymmetric thermal resistance via controlled adsorption in height-changing nanopillars,especially at a large temperature difference.These tunable thermal rectification and NDTR mechanisms provide insights for the design of thermal management systems. 展开更多
关键词 thermal rectification negative differential thermal resistance kinetic theory NANOPILLARS
原文传递
The electric properties and the current-controlled differential negative resistance of cBN crystal
7
作者 DOU QingPing1 & MA HaiTao2 1 Department of Computer,Zhuhai College of Jinan University,Zhuhai 519070,China 2 Institute No.25 of the Second Academy,China Aerospace Science & Industry Corp,Beijing,100854,China 《Science China(Technological Sciences)》 SCIE EI CAS 2008年第12期2295-2300,共6页
The electric properties of nonintentionally doped n-cubic boron nitride(cBN) crystal are investigated.The cBN crystal was transformed from hexagonal-boron nitride(h-BN) under high pressure(HP) and high temperature(HT)... The electric properties of nonintentionally doped n-cubic boron nitride(cBN) crystal are investigated.The cBN crystal was transformed from hexagonal-boron nitride(h-BN) under high pressure(HP) and high temperature(HT) using magnesium powder as catalyst.At room temperature,the current-voltage(I-V) characteristics of cBN crystal are measured and found to be nonlinear.When the electric field is in the range of(1―1.5)×105 V/cm,the avalanche breakdown occurs inside the whole cBN crystal.At this same time,the bright blue-violet with the wavelength of 380―400 nm from the cBN crystal is observed.When measuring the I-V curve after breakdown of cBN crystal,the current-controlled differential negative resistance phenomenon is observed.The breakdown is repeatable. 展开更多
关键词 nonintentionally doped n-cBN nonlinear I-V characteristics current-controlled differential negative resistance light emission
原文传递
Negative differential resistance in an(8,0)carbon/boron nitride nanotube heterojunction
8
作者 宋久旭 杨银堂 +1 位作者 刘红霞 郭立新 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2011年第4期21-24,共4页
Using the method combined non-equilibrium Green’s function with density functional theory,the electronic transport properties of an(8,0) carbon/boron nitride nanotube heterojunction coupled to Au electrodes were in... Using the method combined non-equilibrium Green’s function with density functional theory,the electronic transport properties of an(8,0) carbon/boron nitride nanotube heterojunction coupled to Au electrodes were investigated.In the current voltage characteristic of the heterojunction,negative differential resistance was found under positive and negative bias,which is the variation of the localization for corresponding molecular orbital caused by the applied bias voltage.These results are meaningful to modeling and simulating on related electronic devices. 展开更多
关键词 nanotube heterojunction negative differential resistance non-equilibrium Green’s function
原文传递
Carbon-doping-induced negative differential resistance in armchair phosphorene nanoribbons
9
作者 Caixia Guo Congxin Xia +1 位作者 Tianxing Wang Yufang Liu 《Journal of Semiconductors》 EI CAS CSCD 2017年第3期82-87,共6页
By using a combined method of density functional theory and non-equilibrium Green's function formalism,we investigate the electronic transport properties of carbon-doped armchair phosphorene nanoribbons(APNRs).The ... By using a combined method of density functional theory and non-equilibrium Green's function formalism,we investigate the electronic transport properties of carbon-doped armchair phosphorene nanoribbons(APNRs).The results show that C atom doping can strongly affect the electronic transport properties of the APNR and change it from semiconductor to metal.Meanwhile,obvious negative differential resistance(NDR) behaviors are obtained by tuning the doping position and concentration.In particular,with reducing doping concentration,NDR peak position can enter into m V bias range.These results provide a theoretical support to design the related nanodevice by tuning the doping position and concentration in the APNRs. 展开更多
关键词 C atom doping armchair phosphorene nanoribbon negative differential resistance behavior
原文传递
Two-dimensional tetragonal ZnB: A nodalline semimetal with good transport properties
10
作者 赵永春 朱铭鑫 +1 位作者 李胜世 李萍 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第6期529-536,共8页
Nodal-line semimetals have become a research hot-spot due to their novel properties and great potential application in spin electronics. It is more challenging to find 2D nodal-line semimetals that can resist the spin... Nodal-line semimetals have become a research hot-spot due to their novel properties and great potential application in spin electronics. It is more challenging to find 2D nodal-line semimetals that can resist the spin–orbit coupling(SOC)effect. Here, we predict that 2D tetragonal Zn B is a nodal-line semimetal with great transport properties. There are two crossing bands centered on the S point at the Fermi surface without SOC, which are mainly composed of the pxy orbitals of Zn and B atoms and the pz orbitals of the B atom. Therefore, the system presents a nodal line centered on the S point in its Brillouin zone(BZ). And the nodal line is protected by the horizontal mirror symmetry M_(z). We further examine the robustness of a nodal line under biaxial strain by applying up to-4% in-plane compressive strain and 5% tensile strain on the Zn B monolayer, respectively. The transmission along the a direction is significantly stronger than that along the b direction in the conductive channel. The current in the a direction is as high as 26.63 μA at 0.8 V, and that in the b direction reaches 8.68 μA at 0.8 V. It is interesting that the transport characteristics of Zn B show the negative differential resistance(NDR) effect after 0.8 V along the a(b) direction. The results provide an ideal platform for research of fundamental physics of 2D nodal-line fermions and nanoscale spintronics, as well as the design of new quantum devices. 展开更多
关键词 nodal-line semimetals negative differential resistance(ndr)effect horizontal mirror symmetry
下载PDF
表面单分子的表征和操纵 被引量:2
11
作者 杨金龙 李群祥 +1 位作者 侯建国 朱清时 《电子显微学报》 CAS CSCD 2006年第4期279-286,共8页
本文对国内外表面单分子的表征和操纵的研究概况进行了简短评述,重点介绍了我们的一些基础研究结果,结合扫描隧道显微术和电子密度泛函理论模拟,在单分子C60的高分辨表征、C60在Si表面的吸附取向、富勒烯分子Dy@C82的空间和能量分辨、P... 本文对国内外表面单分子的表征和操纵的研究概况进行了简短评述,重点介绍了我们的一些基础研究结果,结合扫描隧道显微术和电子密度泛函理论模拟,在单分子C60的高分辨表征、C60在Si表面的吸附取向、富勒烯分子Dy@C82的空间和能量分辨、Pd纳米颗粒的无序抑制量子限域效应,制备基于C60的负微分电导和C59N的分子整流器件及单个CoPc分子自旋性质的调控等方面取得一些较重要的进展。 展开更多
关键词 扫描隧道显微术 电子密度泛函理论 量子效应 负微分电阻 分子整流 自旋态调控
下载PDF
CMOS负阻单元逻辑电路及其发展前景 被引量:2
12
作者 郭维廉 牛萍娟 +6 位作者 李晓云 刘宏伟 谷晓 毛陆虹 张世林 陈燕 王伟 《微纳电子技术》 CAS 北大核心 2010年第8期461-469,506,共10页
在回顾了多值逻辑(MVL)电路的优点、分析了共振隧穿器件(RTD)电路的特点和比较了各种类型负阻器件性能的基础上,提出了利用CMOS型负阻单元作为基础性器件设计并实现CMOS型逻辑电路的新概念,并指出了此研究领域的几个重点研究内容和方向。
关键词 CMOS工艺 多值逻辑(MVL) 共振隧穿器件(RTD) 负阻器件 逻辑电路设计 自锁特性
下载PDF
分子器件电路中的混沌效应 被引量:1
13
作者 刘志勇 刘维清 +1 位作者 夏英英 周艳红 《江西师范大学学报(自然科学版)》 CAS 北大核心 2009年第2期138-140,共3页
大多数分子器件存在负微分电阻效应,其伏安特性曲线具有明显的非线性.该文基于数值计算方法考查了Si4分子器件构成电路中的动力学行为,通过计算Si4分子器件构成电路方程的李雅谱诺夫指数,可以在合适的参数区间内观察到混沌动力学行为.
关键词 分子器件 负微分电阻 混沌电路 庞加莱截面 李雅谱诺夫指数
下载PDF
非对称分子中的电流开关效应第一性原理研究
14
作者 周艳红 冉小晓 +2 位作者 刘志勇 陈小春 万海青 《江西师范大学学报(自然科学版)》 CAS 北大核心 2007年第4期362-365,共4页
该文采用基于密度泛函理论的非平衡格林函数方法研究了非对称分子HOOC-C6H4-(CH2)2的输运特性.非对称分子HOOC-C6H4-(CH2)2放在具有有限截面的Al(100)电极中.研究发现,非对称分子HOOC-C6H4-(CH2)2中存在很好的电流开关现象:随... 该文采用基于密度泛函理论的非平衡格林函数方法研究了非对称分子HOOC-C6H4-(CH2)2的输运特性.非对称分子HOOC-C6H4-(CH2)2放在具有有限截面的Al(100)电极中.研究发现,非对称分子HOOC-C6H4-(CH2)2中存在很好的电流开关现象:随着外加偏压的升高,通过分子的电流迅速增加;但是当偏压升高到0.6 V之后,电流开始减少;在1.3 V时,电流几乎处于截止状态.得到了很高的开关流系数~40,非对称分子HOOC-C6H4-(CH2)2的这种导电特性将能在未来的分子器件中有着非常重要的应用.通过分析各种偏压下的透射谱图,阐述了分子开关产生的原因. 展开更多
关键词 平衡电导 透射谱 负微分电阻
下载PDF
新型负阻HBT构成的非稳多谐振荡器研究
15
作者 于欣 牛萍娟 +1 位作者 郭维廉 王伟 《固体电子学研究与进展》 CAS CSCD 北大核心 2007年第4期509-513,共5页
报道了由超薄基区负阻异质结双极晶体管(UTBNDRHBT)构成的非稳多谐振荡器,具有高速、可调控等优点。对其电压控制脉冲频率调制效应进行了实验研究,观察到了仅由基极电压(V_(BE))即可控制脉冲间距和脉冲宽度;对实验现象给出了相应分析,... 报道了由超薄基区负阻异质结双极晶体管(UTBNDRHBT)构成的非稳多谐振荡器,具有高速、可调控等优点。对其电压控制脉冲频率调制效应进行了实验研究,观察到了仅由基极电压(V_(BE))即可控制脉冲间距和脉冲宽度;对实验现象给出了相应分析,并指出了此电路的应用前景。 展开更多
关键词 负阻 超薄基区 异质结双极晶体管 非稳多谐振荡器
下载PDF
石墨烯纳米结构中负微分电阻效应研究
16
作者 徐公杰 李娜 陈镜 《光学仪器》 2015年第4期334-338,343,共6页
由于石墨烯具有高电子迁移率的特性,可以用来制备高频电子器件。利用传输矩阵方法,对石墨烯p-n结及方形势垒纳米结构中的负微分电阻效应进行了研究。证实了石墨烯p-n结中负微分电阻现象比传统半导体中的幅度要小,石墨烯中Klein隧穿过程... 由于石墨烯具有高电子迁移率的特性,可以用来制备高频电子器件。利用传输矩阵方法,对石墨烯p-n结及方形势垒纳米结构中的负微分电阻效应进行了研究。证实了石墨烯p-n结中负微分电阻现象比传统半导体中的幅度要小,石墨烯中Klein隧穿过程的存在使负能量范围内的空穴对电流产生影响。石墨烯纳米方形势垒中发生负微分电阻效应的位置在费米面附近,势垒宽度越大,对载流子的阻挡越大,负微分电阻效应越明显。 展开更多
关键词 石墨烯 负微分电阻 传输矩阵
下载PDF
团簇与电极之间的接触距离对铝硅团簇的非平衡输运性质的影响
17
作者 万海青 卞祖武 《江西教育学院学报》 2009年第3期13-16,共4页
文章用第一性原理非平衡格林函数方法探讨了铝硅团簇:Al2、Si2、Al4和AlSi置于两个铝电极之间时的输运性质。结果发现团簇的输运性质受体系的纳米结构和团簇与电极之间的接触距离双重影响。通过计算非平衡性质,我们发现所有的团簇在低... 文章用第一性原理非平衡格林函数方法探讨了铝硅团簇:Al2、Si2、Al4和AlSi置于两个铝电极之间时的输运性质。结果发现团簇的输运性质受体系的纳米结构和团簇与电极之间的接触距离双重影响。通过计算非平衡性质,我们发现所有的团簇在低偏压下表现出金属性而在高偏压下表现出非线性行为。特别是Al2和AlSi团簇在距离d=3.5A°时,表现出明显的负微分电阻现象(NDR)。电流最大值与最小值之比约为16,显示出分子开关特性。上述结果表明:分子导体和电极的接触距离的精确确定和具体的分子导体的电子结构的讨论对正确地预言或考察分子导体的非平衡输运性质具有极其重要的意义。 展开更多
关键词 透射谱 负微分电阻 分子开关
下载PDF
有机电双稳态器件 被引量:1
18
作者 石胜伟 彭俊彪 《化学进展》 SCIE CAS CSCD 北大核心 2007年第9期1371-1380,共10页
有机电双稳态器件(organic electrical bistability devices,OEBDs)具有低成本、易加工、小体积、快响应、低功耗和高存储密度等优点,在未来的信息存储和逻辑电路方面有着非常广阔的应用前景,正受到人们越来越多的关注。但是有机电双稳... 有机电双稳态器件(organic electrical bistability devices,OEBDs)具有低成本、易加工、小体积、快响应、低功耗和高存储密度等优点,在未来的信息存储和逻辑电路方面有着非常广阔的应用前景,正受到人们越来越多的关注。但是有机电双稳态器件的工作原理还没有得到很好的理解,并且工作过程中所涉及的新概念、新理论等基本科学问题以及制备和加工过程中所涉及的新结构、新方法、新技术和新材料还有待进行深入的研究。本文综述了有机电双稳态器件近年来的研究进展,并探讨了它在电开关存储方面的应用前景以及需要解决的问题。 展开更多
关键词 有机功能层 电双稳态 负微分电阻 有机存储器
下载PDF
4.3 THz quantum-well photodetectors with high detection sensitivity 被引量:1
19
作者 张真真 符张龙 +1 位作者 郭旭光 曹俊诚 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第3期201-204,共4页
We demonstrate a high performance GaAs/AlGaAs-based quantum-well photodetector (QWP) device with a peak response frequency of 4.3 THz. The negative differential resistance (NDR) phenomenon is found in the dark cur... We demonstrate a high performance GaAs/AlGaAs-based quantum-well photodetector (QWP) device with a peak response frequency of 4.3 THz. The negative differential resistance (NDR) phenomenon is found in the dark currentvoltage (I-V) curve in the current sweeping measurement mode, from which the breakdown voltage is determined. The photocurrent spectra and blackbody current responsivities at different voltages are measured. Based on the experimental data, the peak responsivity of 0.3 A/W (at 0.15 V, 8 K) is derived, and the detection sensitivity is higher than 10u Jones, which is in the similar level as that of the commercialized liquid-helium-cooled silicon bolometers. We attribute the high detection performance of the device to the small ohmic contact resistance of -2Ω and the big breakdown bias. 展开更多
关键词 terahertz quantum-well photodetector negative differential resistance detection sensitivity pho- tocurrent spectra
下载PDF
超薄顶硅层SOI基新颖阳极快速LIGBT
20
作者 陈文锁 张培建 钟怡 《半导体技术》 CAS CSCD 北大核心 2016年第7期509-513,555,共6页
提出一种利用浅槽隔离(STI)技术的超薄顶硅层绝缘体上硅(SOI)基新颖阳极快速横向绝缘栅双极型晶体管(LIGBT),简称STI-SOI-LIGBT。该新结构器件整体构建在顶硅层厚度为1μm、介质层厚度为2μm的SOI材料上,其阳极采用STI和p+埋层结构设计... 提出一种利用浅槽隔离(STI)技术的超薄顶硅层绝缘体上硅(SOI)基新颖阳极快速横向绝缘栅双极型晶体管(LIGBT),简称STI-SOI-LIGBT。该新结构器件整体构建在顶硅层厚度为1μm、介质层厚度为2μm的SOI材料上,其阳极采用STI和p+埋层结构设计。新器件STI-SOI-LIGBT的制造方法可以采用半导体工艺生产线常用的带有浅槽隔离工艺的功率集成电路加工技术,关键工艺的具体实现步骤也进行了讨论。器件+电路联合仿真实验说明:新器件STISOI-LIGBT完全消除了正向导通过程中的负微分电阻现象,与常规结构LIGBT相比,正向压降略微增加6%,而关断损耗大幅降低86%。此外,对关键参数的仿真结果说明新器件还具有工艺容差大的设计优点。新器件STI-SOI-LIGBT非常适用于SOI基高压功率集成电路。 展开更多
关键词 绝缘体上硅(SOI) 浅槽隔离(STI) 横向绝缘栅双极型晶体管(LIGBT) 负微分电阻(ndr) 功率集成电路
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部