期刊文献+
共找到251篇文章
< 1 2 13 >
每页显示 20 50 100
Trap states induced by reactive ion etching in AlGaN/GaN high-electron-mobility transistors 被引量:1
1
作者 罗俊 赵胜雷 +5 位作者 宓珉瀚 侯斌 杨晓蕾 张进成 马晓华 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第11期460-463,共4页
Frequency-dependent conductance measurements were carried out to investigate the trap states induced by reactive ion etching in A1GaN/GaN high-electron-mobility transistors (HEMTs) quantitatively. For the non-recess... Frequency-dependent conductance measurements were carried out to investigate the trap states induced by reactive ion etching in A1GaN/GaN high-electron-mobility transistors (HEMTs) quantitatively. For the non-recessed HEMT, the trap state density decreases from 2.48 × 1013 cm-2.eV-1 at an energy of 0.29 eV to 2.79 × 1012 cm-2.eV-1 at ET = 0.33 eV. In contrast, the trap state density of 2.38 × 1013-1.10× 1014 cm-2.eV-1 is located at ET in a range of 0.30-0.33 eV for the recessed HEMT. Thus, lots of trap states with shallow energy levels are induced by the gate recess etching. The induced shallow trap states can be changed into deep trap states by 350 ℃ annealing process. As a result, there are two different types of trap sates, fast and slow, in the annealed HEMT. The parameters of the annealed HEMT are ET = 0.29-0.31 eV and DT = 8.16× 1012-5.58 × 1013 cm-2.eV-1 for the fast trap states, and ET = 0.37-0.45 eV and DT = 1.84×1013- 8.50 × 1013 cm-2.eV-1 for the slow trap states. The gate leakage currents are changed by the etching and following annealing process, and this change can be explained by the analysis of the trap states. 展开更多
关键词 AlGaN/GaN high-electron mobility transistors (HEMTs) ANNEALING reactive ion etching trapstates
下载PDF
Reactive Ion Etching of GaAs,GaSb,InP and InAs in Cl_2/Ar Plasma 被引量:2
2
作者 HONGTing ZHANGYong-gang LIUTian-dong 《Semiconductor Photonics and Technology》 CAS 2004年第3期203-207,共5页
Reactive ion etching characteristics of GaAs,GaSb,InP and InAs using Cl2/Ar plasma have been investigated,it is that,etching rates and etching profiles as functions of etching time,gas flow ratio and RF power.Etch rat... Reactive ion etching characteristics of GaAs,GaSb,InP and InAs using Cl2/Ar plasma have been investigated,it is that,etching rates and etching profiles as functions of etching time,gas flow ratio and RF power.Etch rates of above 0.45 μm/min and 1.2 μm/min have been obtained in etching of GaAs and GaSb respectively, while very slow etch rates (<40 nm/min) were observed in etching of In-containing materials,which were linearly increased with the applied RF power.Etched surfaces have remained smooth over a wide range of plasma conditions in the etching of GaAs,InP and InAs,however,were partly blackened in etching of GaSb due to a rough appearance. 展开更多
关键词 反应离子蚀刻 GAAS GASB INP INAS 等离子体
下载PDF
Reactive Ion Etching of ITO Transparent Electrode of TFT-AMLCD in Ar/CF_4 Plasma
3
作者 ElHassaneOULACHGAR XUZhongyang 《Semiconductor Photonics and Technology》 CAS 1998年第3期188-192,共5页
1IntroductionTheindiumtinoxide(ITO)hasbeenusedinmanyareasofsemiconductorsandoptoelectronicsdevices,suchassol... 1IntroductionTheindiumtinoxide(ITO)hasbeenusedinmanyareasofsemiconductorsandoptoelectronicsdevices,suchassolarcelsandliquidcr... 展开更多
关键词 氩/四氟化碳等离子体 铟锡氧半导体 离子刻蚀 液晶显示
下载PDF
Transfer of Machined Patterns on an Aluminum Plate to Pyrex Glass Using Reactive Ion Etching SF_(6) Plasma without Masks
4
作者 Carlos M.Ortiz-Lima Fernando J.Quinones-Novelo +1 位作者 Alberto Jaramillo-Nunez Jorge Castro-Ramos 《Journal of Surface Engineered Materials and Advanced Technology》 2014年第5期262-269,共8页
A method for etching the surface of a Pyrex glass substrate using the Reactive Ion Etching process without the use of masks is reported. Variations in the machined surface on an auxiliary plate, manufactured in alumin... A method for etching the surface of a Pyrex glass substrate using the Reactive Ion Etching process without the use of masks is reported. Variations in the machined surface on an auxiliary plate, manufactured in aluminum and placed below a Pyrex glass slide, were transferred to the upper surface of the substrate. SF6 as etching gas and low pressure chamber to promote the increase of mean free path of ions were used. Two etching ratios were found, general, that affects the entire surface of the substrate, and differential, which generates the relief on the surface of the glass. Differential etching depth showed a linear behavior with respect to time;the mean differential etching rate obtained was 43 nm/min. The same phase between the auxiliary plate machining and the etched pattern on the substrate is preserved. With this technique it was possible to manufacture convex and concave surfaces;some examples are given. The arithmetic mean roughness achieved with the proposed method was found to be N1 class, ideal for the development of optical corrector plates. 展开更多
关键词 reactive Ion etching No Masking RIE SF_(6) Plasma etching
下载PDF
Fabrication of Diamond Microstructures by Using Dry and Wet Etching Methods
5
作者 张继成 周民杰 +1 位作者 吴卫东 唐永建 《Plasma Science and Technology》 SCIE EI CAS CSCD 2013年第6期552-554,共3页
Diamond films have great potential for micro-electro-mechanical system(MEMS) application.For device realization,precise patterning of diamond films at micrometer scale is indispensable.In this paper,simple and facil... Diamond films have great potential for micro-electro-mechanical system(MEMS) application.For device realization,precise patterning of diamond films at micrometer scale is indispensable.In this paper,simple and facile methods will be demonstrated for smart patterning of diamond films,in which two etching techniques,i.e.,plasma dry etching and chemical wet etching(including isotropic-etching and anisotropic-etching) have been developed for obtaining diamond microstructures with different morphology demands.Free-standing diamond micro-gears and micro-combs were achieved as examples by using the experimental procedures.It is confirmed that as-designed diamond structures with a straight side wall and a distinct boundary can be fabricated effectively and efficiently by using such methods. 展开更多
关键词 MEMS diamond film FREE-STANDING reactive ion etching anisotropic and isotropic wet etching
下载PDF
石英玻璃刻蚀浅锥孔阵列的工艺研究
6
作者 乌李瑛 刘丹 +7 位作者 权雪玲 程秀兰 张芷齐 高庆学 付学成 徐丽萍 张文昊 马玲 《半导体光电》 CAS 北大核心 2024年第3期434-441,共8页
介绍了石英玻璃刻蚀浅锥孔的制备方法。通过紫外接触式光刻系统在石英玻璃上形成光刻胶浅锥孔阵列图案,用电感耦合反应离子刻蚀机(ICP-RIE)进行刻蚀。研究了光刻参数和蚀刻参数(气体流量、气体成分、腔压、ICP功率和偏置功率)对石英玻... 介绍了石英玻璃刻蚀浅锥孔的制备方法。通过紫外接触式光刻系统在石英玻璃上形成光刻胶浅锥孔阵列图案,用电感耦合反应离子刻蚀机(ICP-RIE)进行刻蚀。研究了光刻参数和蚀刻参数(气体流量、气体成分、腔压、ICP功率和偏置功率)对石英玻璃的刻蚀性能、表面轮廓、蚀刻速率和侧壁倾角的影响。结果表明:刻蚀气体种类对石英浅锥孔阵列刻蚀效果有显著影响,CF_(4)和Ar的组合气体所刻蚀的石英锥孔阵列的效果最佳,随着CF_(4)气体流量比的增加,石英刻蚀倾角先降低后又小幅增加,当刻蚀气体(CF_(4)∶Ar)流量比在5∶3时,石英刻蚀速率为0.154μm/min,光刻胶刻蚀速率为0.12μm/min,得到的石英浅锥孔倾角最倾斜。在其他刻蚀参数一定的情况下,ICP功率由600W升高至800W,石英刻蚀速率大幅降低,聚合物的沉积成为刻蚀工艺的主导;刻蚀石英的粗糙度Rq随着ICP功率的降低明显增加;RF功率升高时刻蚀石英的速率增加,Rq值增加后又降低,当RF功率升高至200W时,光刻胶发生碳化现象。可为石英玻璃微器件的制备提供工艺参考。 展开更多
关键词 电感耦合反应离子刻蚀 石英玻璃 干法刻蚀 等离子体 刻蚀倾角 微透镜阵列 锥孔
下载PDF
Reactive ion etching of poly(vinylidene fluoride-trifluoroethylene) copolymer for flexible piezoelectric devices 被引量:2
7
作者 JIANG YongGang SHIONO Syohei +3 位作者 HAMADA Hiroyuki FUJITA Takayuki ZHANG DeYuan MAENAKA Kazusuke 《Chinese Science Bulletin》 SCIE EI CAS 2013年第18期2091-2094,共4页
A microfabrication process for poly(vinylidene fluoride-trifluoroethylene)(P(VDF-TrFE)) based flexible piezoelectric devices is proposed using heat controlled spin coating and reactive ion etching(RIE) techniques.Dry ... A microfabrication process for poly(vinylidene fluoride-trifluoroethylene)(P(VDF-TrFE)) based flexible piezoelectric devices is proposed using heat controlled spin coating and reactive ion etching(RIE) techniques.Dry etching of P(VDF-TrFE) in CF 4 +O2 plasma is found to be more effective than that using SF 6 +O2 or Ar+O2 feed gas with the same radiofrequency power and pressure conditions.A maximum etching rate of 400 nm/min is obtained using the CF 4 +O2 plasma with an oxygen concentration of 60% at an antenna power of 200 W and a platen power of 20 W.The oxygen atoms and fluorine atoms are found to be responsible for the chemical etching process.Microstructuring of P(VDF-TrFE) with a feature size of 10 m is achieved and the patterned films show a high remanent polarization of 63.6mC/m 2. 展开更多
关键词 反应离子蚀刻 压电器件 三氟乙烯 P(VDF-TrFE) 偏氟乙烯 反应性 共聚物 SF6气体
原文传递
Formation mechanism of multi-functional black silicon based on optimized deep reactive ion etching technique with SF_6/C_4F_8 被引量:2
8
作者 ZHU Fu Yun ZHANG Xiao Sheng ZHANG Hai Xia 《Science China(Technological Sciences)》 SCIE EI CAS CSCD 2015年第2期381-389,共9页
This paper reports a controllable multi-functional black silicon surface with nanocone-forest structures fabricated by an optimized deep reactive ion etching(DRIE)technique using SF6/C4F8 in cyclic etching-passivation... This paper reports a controllable multi-functional black silicon surface with nanocone-forest structures fabricated by an optimized deep reactive ion etching(DRIE)technique using SF6/C4F8 in cyclic etching-passivation process,which is maskless,effective and controllable.The process conditions are investigated by systematically comparative experiments and core parameters have been figured out,including etching process parameters,pre-treatment,patterned silicon etching and inclined surface etching.Based on the experimental data,the formation mechanism of nanocone shape is developed,which provides a novel view for in-depth understanding of abnormal phenomena observed in the experiments under different process situations.After the optimization of the process parameters,the black silicon surfaces exhibit superhydrophobicity with tunable reflectance.Additionally,the quantitative relationship between nanocones aspect ratio and surface reflectance and static contact angle is obtained,which demonstrates that black silicon surfaces with unique functional properties(i.e.,cross-combination of reflectance and wettability)can be achieved by controlling the morphology of nanostructures. 展开更多
关键词 反应离子蚀刻 蚀刻技术 硅表面 多功能 优化 机制 表面反射率 纳米结构
原文传递
A photoluminescence study of plasma reactive ion etching-induced damage in GaN
9
作者 Z.Mouffak A.Bensaoula L.Trombetta 《Journal of Semiconductors》 EI CAS CSCD 2014年第11期16-19,共4页
GaN films with reactive ion etching (RIE) induced damage were analyzed using photoluminescence (PL). We observed band-edge as well as donor-acceptor peaks with associated phonon replicas, all in agreement with pre... GaN films with reactive ion etching (RIE) induced damage were analyzed using photoluminescence (PL). We observed band-edge as well as donor-acceptor peaks with associated phonon replicas, all in agreement with previous studies. While both the control and damaged samples have their band-edge peak location change with temperature following the Varshni formula, its intensity however decreases with damage while the D-A peak increases considerably. Nitrogen post-etch plasma was shown to improve the band edge peak and decrease the D-A peak. This suggests that the N2 plasma has helped reduce the number of trapped carriers that were participating in the D-A transition and made the D°X transition more active, which reaffirms the N2 post-etch plasma treatment as a good technique to heal the GaN surface, most likely by filling the nitrogen vacancies previously created by etch damage. 展开更多
关键词 GAN etch damage PHOTOLUMINESCENCE reactive ion etching
原文传递
Fabrication of metal suspending nanostructures by nanoimprint lithography(NIL) and isotropic reactive ion etching(RIE)
10
作者 XIE GuoYong ZHANG Jin +3 位作者 ZHANG YongYi ZHANG YingYing ZHU Tao LIU ZhongFan 《Science China(Technological Sciences)》 SCIE EI CAS 2009年第5期1181-1186,共6页
We report herein a rational approach for fabricating metal suspending nanostructures by nanoimprint lithography(NIL) and isotropic reactive ion etching(RIE).The approach comprises three principal steps:(1) mold fabric... We report herein a rational approach for fabricating metal suspending nanostructures by nanoimprint lithography(NIL) and isotropic reactive ion etching(RIE).The approach comprises three principal steps:(1) mold fabrication,(2) structure replication by NIL,and(3) suspending nanostructures creation by isotropic RIE.Using this approach,suspending nanostructures with Au,Au/Ti or Ti/Au bilayers,and Au/Ti/Au sandwiched structures are demonstrated.For Au nanostructures,straight suspending nanostructures can be obtained when the thickness of Au film is up to 50 nm for nano-bridge and 90 nm for nano-finger patterns.When the thickness of Au is below 50 nm for nano-bridge and 90 nm for nano-finger,the Au suspending nanostructures bend upward as a result of the mismatch of thermal expansion between the thin Au films and Si substrate.This leads to residual stresses in the thin Au films.For Au/Ti or Ti/Au bilayers nanostructures,the cantilevers bend toward Au film,since Au has a larger thermal expansion coefficient than that of Ti.While in the case of sandwich structures,straight suspending nanostructures are obtained,this may be due to the balance of residual stress between the thin films. 展开更多
关键词 suspending NANOSTRUCTURE FABRICATION NANOIMPRINT lithography(NIL) ISOTROPIC reactive ion etching(RIE)
原文传递
A sliding-mode triboelectric nanogenerator with chemical group grated structure by shadow mask reactive ion etching 被引量:1
11
《Science Foundation in China》 CAS 2017年第4期8-,共1页
With the support by the National Natural Science Foundation of China,a collaboration by the research groups led by Prof.Cheng Gang(程纲)from Henan University and Prof.Wang Zhonglin(王中林)from Beijing Institute of Nan... With the support by the National Natural Science Foundation of China,a collaboration by the research groups led by Prof.Cheng Gang(程纲)from Henan University and Prof.Wang Zhonglin(王中林)from Beijing Institute of Nanoenergy and Nanosystems,Chinese Academy of Sciences,invents'a sliding-mode triboelectric nanogenerator with chemical group grated structure by shadow mask reactive ion etching',which was published in ACS Nano(2017,11(9):8796-8803). 展开更多
关键词 A sliding-mode triboelectric nanogenerator with chemical group grated structure by shadow mask reactive ion etching
原文传递
Reactive ion etching of Si_2Sb_2Te_5 in CF_4/Ar plasma for a nonvolatile phase-change memory device
12
作者 李俊焘 刘波 +5 位作者 宋志棠 姚栋宁 冯高明 何敖东 彭程 封松林 《Journal of Semiconductors》 EI CAS CSCD 2013年第5期126-130,共5页
Phase change random access memory (PCRAM) is one of the best candidates for next generation non- volatile memory, and phase change SiESbETe5 material is expected to be a promising material for PCRAM. In the fabricat... Phase change random access memory (PCRAM) is one of the best candidates for next generation non- volatile memory, and phase change SiESbETe5 material is expected to be a promising material for PCRAM. In the fabrication of phase change random access memories, the etching process is a critical step. In this paper, the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration, meanwhile, Ar concentration went up and smoother etched surfaces were obtained. It proves that CF4 determines the etch rate while Ar plays an im- portant role in defining the smoothness of the etched surface and sidewall edge acuity. Compared with GeESbETe5, it is found that Si2Sb2Te5 has a greater etch rate. Etching characteristics of Si2SbETe5 as a function of power and pressure were also studied. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 40 mTorr, and power of 200 W. 展开更多
关键词 reactive ion etching phase-change material Si2Sb2Te5
原文传递
Preparation and characterization of three-dimensional micro-electrode for micro-supercapacitor based on inductively coupled plasma reactive etching technology 被引量:1
13
作者 WEN ChunMing WEN ZhiYu +1 位作者 YOU Zheng WANG XiaoFeng 《Science China(Technological Sciences)》 SCIE EI CAS 2012年第7期2013-2018,共6页
The capacity of supercapacitor charge storage depends on the size of the electrode surface area and the active material on the electrodes.To enhance the charge storage capacity with a reduced volume,silicon is used as... The capacity of supercapacitor charge storage depends on the size of the electrode surface area and the active material on the electrodes.To enhance the charge storage capacity with a reduced volume,silicon is used as the electrode material,and three-dimensional electrode structure is prepared to increase the electrode surface area on the footprint area by inductively coupled plasma reactive etching(ICP) techniques.The anodic constant current deposition method is employed to deposit manganese oxide on the electrode surface as the electroactive material.For comparison,samples without slot are prepared with a two-dimensional electrode.Scanning Electron Microscopy(SEM) and Energy Dispersive Spectroscopy(EDS) are used to characterize the surface morphology of the electrode structure and the deposited electroactive material.Electrochemical properties of the electrode are characterized by the cyclic voltammetry(CV) and the constant current charge-discharge method.Experimental results show that our approach can effectively increase the electrode surface area with more electroactive substances,and hence can increase storage capacity of the micro-supercapacitor. 展开更多
关键词 等离子体反应 刻蚀技术 电感耦合 样品制备 微电极 微型 表征 三维
原文传递
Reactive ion etching of Ti-diffused LiNbO_3 slab waveguides
14
作者 吴建杰 李金洋 +1 位作者 要彦清 祁志美 《Journal of Semiconductors》 EI CAS CSCD 2013年第8期188-192,共5页
Reactive ion etching(RIE) of LiNbO_3(LN) in SF_6 plasma atmosphere was studied for optimizing the preparation conditions for LN ridge waveguides.The samples to be etched are Ti-diffused LN slab waveguides overlaid... Reactive ion etching(RIE) of LiNbO_3(LN) in SF_6 plasma atmosphere was studied for optimizing the preparation conditions for LN ridge waveguides.The samples to be etched are Ti-diffused LN slab waveguides overlaid with a chromium film mask that has a Mach-Zehnder interferometer(MZI) array pattern.The experimental results indicate that the LN-etching rate(R_(LN)) and the Cr-etching rate(R_(Cr)) as well as the rate ratio R_(LN)/R_(Cr) increase with either increasing the radio-frequency(RF) power at a given SF_6 flow rate or increasing the SF_6 flow rate at a fixed RF power.The maximum values of R_(LN) = 43.2 nm/min and R_(LN)/R_(Cr) = 3.27 were achieved with 300 W RF power and 40 sccm SF_6 flow.When the SF_6 flow rate exceeds 40 sccm,an increase in the flow rate causes the etching rates and the rate ratio to decrease.The scanning electron microscope images of the LN ridge prepared after~20 min etching show that the ridge height is 680 nm and the sidewall slope angle is about 60°. 展开更多
关键词 reactive ion etching Ti-diffused LiNbO_3 slab waveguide optimal etching parameters ridge waveguide
原文传递
Study of Deep-etched Glass Waveguide Grating
15
作者 马少杰 《High Technology Letters》 EI CAS 1995年第2期48-50,共3页
Photoresist grating was fabricated by holography, and it was used in the mask of ion etching. The groovy depth of the etched glass grating was 1.6μm. The glass waveguide was formed by K^+/Na^+ ion exchanging. The las... Photoresist grating was fabricated by holography, and it was used in the mask of ion etching. The groovy depth of the etched glass grating was 1.6μm. The glass waveguide was formed by K^+/Na^+ ion exchanging. The laser beam of 633nm was coupled in the waveguide by a prism at one end, then, it passed through the grating and came out of the waveguide at other end. In the experiment, the Bragg diffraction with several orders was observed. The first order Bragg diffraction had the highest efficiency of 90 percent. 展开更多
关键词 WAVEGUIDE GRATING BRAGG DIFFRACTION Ion etching
下载PDF
Statistical key variable analysis and model-based control for improvement performance in a deep reactive ion etching process
16
作者 陈山 潘天红 +1 位作者 李正明 郑西显 《Journal of Semiconductors》 EI CAS CSCD 2012年第6期118-124,共7页
This paper proposes to develop a data-driven via's depth estimator of the deep reactive ion etching process based on statistical identification of key variables.Several feature extraction algorithms are presented to ... This paper proposes to develop a data-driven via's depth estimator of the deep reactive ion etching process based on statistical identification of key variables.Several feature extraction algorithms are presented to reduce the high-dimensional data and effectively undertake the subsequent virtual metrology(VM) model building process.With the available on-line VM model,the model-based controller is hence readily applicable to improve the quality of a via's depth.Real operational data taken from a industrial manufacturing process are used to verify the effectiveness of the proposed method.The results demonstrate that the proposed method can decrease the MSE from 2.2×10^(-2) to 9×10^(-4) and has great potential in improving the existing DRIE process. 展开更多
关键词 deep reactive-ion etching virtual metrology through silicon via key variable analysis model-based control
原文传递
金刚石纳米锥坑阵列结构的制备
17
作者 谭心 潘超 +2 位作者 贺占清 祁晖 杨桥 《微纳电子技术》 CAS 北大核心 2023年第1期116-123,共8页
采用电感耦合反应离子刻蚀(ICP-RIE)技术刻蚀金刚石薄膜,通过调整刻蚀功率、角度及时间等工艺参数,低成本且高效率地实现了排列整齐的圆形纳米锥坑阵列的可控化制备。对纳米锥坑的制备过程进行深入研究,发现可通过调节刻蚀角度与偏压功... 采用电感耦合反应离子刻蚀(ICP-RIE)技术刻蚀金刚石薄膜,通过调整刻蚀功率、角度及时间等工艺参数,低成本且高效率地实现了排列整齐的圆形纳米锥坑阵列的可控化制备。对纳米锥坑的制备过程进行深入研究,发现可通过调节刻蚀角度与偏压功率控制氧等离子对金刚石进行高度方向性的刻蚀。荧光检测结果表明,直径为80~120 nm、深度为90~130 nm的纳米锥坑阵列结构可使金刚石薄膜内NV0色心的荧光强度增加21%,SiV-色心的荧光强度增加49%。使用时域有限差分方法对增强原因进行探究,发现纳米锥坑对泵浦激发光有局限作用,并且可在纳米锥坑附近形成法布里-珀罗共振腔,使色心的自发辐射速率加快,进而增加其荧光强度。 展开更多
关键词 纳米锥坑 金刚石薄膜 电感耦合反应离子刻蚀(ICP-RIE) 刻蚀方向性 荧光增强
下载PDF
半导体工艺中二氧化硅的刻蚀速率研究
18
作者 武登远 韩培峰 李东华 《科学与信息化》 2023年第6期120-122,共3页
随着集成电路技术的发展,对于越来越小的加工体积,在半导体表面刻蚀工艺中,反映刻蚀是首选方法,它可以利用各向异性来转化微小形状。为了满足技术的发展,应进一步探讨反应离子蚀刻版画率如何影响二氧化硅表面的刻蚀速率和均匀性。首先... 随着集成电路技术的发展,对于越来越小的加工体积,在半导体表面刻蚀工艺中,反映刻蚀是首选方法,它可以利用各向异性来转化微小形状。为了满足技术的发展,应进一步探讨反应离子蚀刻版画率如何影响二氧化硅表面的刻蚀速率和均匀性。首先利用反应离子刻蚀速率进行研究和试验得到的数值,然后对覆膜厚度范围进行测定,最后再定量算出刻蚀速度和均匀的危害系数,以制定最终的技术要求。 展开更多
关键词 半导体技术 反应分子刻蚀 均匀性技术 刻蚀速度 均匀性
下载PDF
电子特气在低温干法刻蚀中的应用与发展
19
作者 陈润泽 花莹曦 +5 位作者 张建伟 倪珊珊 吝秀锋 李欣 孙加其 王佳佳 《低温与特气》 CAS 2023年第2期11-16,共6页
介绍了低温干法刻蚀技术的技术原理、应用和进展,探讨了不同刻蚀气体和工艺参数对低温干法刻蚀工艺的影响。
关键词 低温干法刻蚀 反应离子刻蚀 电子特气
下载PDF
基于介电泳微流控芯片实现amol级分子量检测
20
作者 周博华 林琳 +1 位作者 赖丽燕 李以贵 《微纳电子技术》 CAS 北大核心 2023年第5期770-778,共9页
设计并制作了一种快速检测宫颈癌细胞C4II的微流控芯片。制作的微芯片结构是采用电感耦合等离子体-反应离子刻蚀(ICP-RIE)工艺制备的硅模具为核心的复合结构,微芯片中包含一个10×10的微腔室阵列,单个微腔室底面半径40μm,高度500... 设计并制作了一种快速检测宫颈癌细胞C4II的微流控芯片。制作的微芯片结构是采用电感耦合等离子体-反应离子刻蚀(ICP-RIE)工艺制备的硅模具为核心的复合结构,微芯片中包含一个10×10的微腔室阵列,单个微腔室底面半径40μm,高度500μm,整个微腔室的理论溶液体积达到250 pL。荧光检测系统采用波长(340±15)nm的激发光滤波片和波长(480±30)nm的接收光滤波片的最佳滤光类型,同时利用介电泳(DEP)法浓缩核酸以及采用硅烷偶联法固定核酸探针OMU-opy2来增加荧光强度。实验结果表示,该微流控芯片能检测到有效荧光的样品溶液最低浓度可低至7.8 nmol/L,从而微芯片的RNA分子量检测极限提升至1.9 amol。 展开更多
关键词 微流控芯片 介电泳(DEP) 电感耦合等离子体-反应离子刻蚀(ICP-RIE) 微腔室 核酸探针 滤波片
下载PDF
上一页 1 2 13 下一页 到第
使用帮助 返回顶部