期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
A novel interconnect optimal buffer insertion model considering the self-heating effect
1
作者 张岩 董刚 +4 位作者 杨银堂 王宁 丁尧舜 刘晓贤 王凤娟 《Journal of Semiconductors》 EI CAS CSCD 2013年第11期118-123,共6页
Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance m... Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance model and according to the trade-off theory, a novel optimization analytical model of delay, power dissipation and bandwidth is derived. The proposed optimal model is verified and compared based on 90 nm, 65 nm and 40 nm CMOS technologies. It can be found that more optimum results can be easily obtained by the proposed model. This optimization model is more accurate and realistic than the conventional optimization models, and can be integrated into the global interconnection design ofnano-scale integrated circuits. 展开更多
关键词 self-heating effect interconnection wire resistance per unit length optimal model very large scale integration
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部